Chinese semiconductor industry

Status
Not open for further replies.

olalavn

Senior Member
Registered Member
Why didn't they use these chips for their phones? Could they have used these chips now in laptops because they are on the cusp of a breakthrough in 5nm tech and are confident they can supply the market with their own 5nm chips in the very near future?

One thing is certain, Hawaii has the capability to surprise on the upside.
5nm technology is stable, don't worry about it
 

ansy1968

Brigadier
Registered Member
If SMIC is going to enter sub-5nm manufacturing in the near future,
I think 5nm chip is within reach using NXT 2050i and 2100i
it will need need EUV. We know they are working towards 3nm, and while the limits of DUV can be pushed quite a bit EUV will be needed for economically viable yields.
My opinion Liang Mong Song and his team are using the Shanghai Synchrotron to validate materials needed for EUVL, so when the projected Chinese EUVL do enter service in 2025 they may mass produced the 5nm chips and 3nm IOC in 2026. IF that happen then only the Chinese FABS can produce 3nm chips and below. ;)

There is a lot of synergism among the scientific institution, academe, state owned and private corporation to produced that machine out of necessity and National Pride. So being an optimistic I predict 3nm will be mass produce by late 2027.
 

european_guy

Junior Member
Registered Member
UPrecision double stage component orders in hand reached 300 million rmb.

View attachment 123466

They say that as of October 31, 2023 the order on hands amount to 316M rmb ($45M)

I don't know the price of a wafer double-stage or how many customer they have apart from SMEE (not only for their double-stage). I remember I saw a kind of a litho machine's cost breakdown in the past here in the forum, but unfortunately I'm not able to find it now.

Anyhow it seems $45M can buy you more than a couple of stages for a prototype machine, maybe this is a hint that we are now in a kind of pre-production for SMEE 28nm.

Orders are from end of October 2023, so maybe we can reasonably expect some SMEE delivery within Q1 2024.

@PopularScience can you please share the source of this information? Thanks.
 

Arij Javaid

Junior Member
Registered Member
This is an actively moderated thread with expectations that people will put effort into reading other people's posts. If you have nothing to offer, then please read up the thread for answers rather than clog up this thread with low quality posting. I will be deleting your posts
OK. Then how far back would I know that there are comments than answers my questions? Why not work on an interface that makes it convenient than spending time getting riled up by other people's comments.
 

PopularScience

Junior Member
Registered Member
They say that as of October 31, 2023 the order on hands amount to 316M rmb ($45M)

I don't know the price of a wafer double-stage or how many customer they have apart from SMEE (not only for their double-stage). I remember I saw a kind of a litho machine's cost breakdown in the past here in the forum, but unfortunately I'm not able to find it now.

Anyhow it seems $45M can buy you more than a couple of stages for a prototype machine, maybe this is a hint that we are now in a kind of pre-production for SMEE 28nm.

Orders are from end of October 2023, so maybe we can reasonably expect some SMEE delivery within Q1 2024.

@PopularScience can you please share the source of this information? Thanks.
Please, Log in or Register to view URLs content!

Somebody took from the latest IPO document. I don't have that document.
 

tokenanalyst

Brigadier
Registered Member

600 million yuan! Shengjian Semiconductor’s domestic semiconductor process ancillary equipment and key components project starts​

A domestic semiconductor process ancillary equipment and key component production base constructed and operated by Shanghai Shengjian Semiconductor Technology Co., Ltd. with a total investment of 600 million yuan started construction in Jiading Industrial Zone.
News from Shanghai Jiading Industrial Zone shows that the project covers an area of about 42 acres and is planned to be invested and constructed in two phases. It will be built into a domestic advanced semiconductor ancillary equipment platform integrating R&D, manufacturing, sales and maintenance services. After the project is completed, it is expected to enhance the high-end domestic supporting capabilities of semiconductor process ancillary equipment and promote the continuous improvement of the domestic substitution rate of semiconductor equipment.
Shanghai Shengjian Semiconductor Technology Co., Ltd. is a subsidiary of Shanghai Shengjian Environmental System Technology Co., Ltd. Shengjian Environment is a company listed on the A-share main board of the Shanghai Stock Exchange that is committed to providing process waste gas treatment systems and equipment comprehensive solutions for China's semiconductor industry. In December 2021, Shengjian Environment signed an investment agreement with the Shanghai Jiading Industrial Zone Management Committee to invest in the construction of a "domestic semiconductor process ancillary equipment and key components project" in Shanghai Jiading Industrial Zone.​
 

tonyget

Senior Member
Registered Member
They say that as of October 31, 2023 the order on hands amount to 316M rmb ($45M)

I don't know the price of a wafer double-stage or how many customer they have apart from SMEE (not only for their double-stage). I remember I saw a kind of a litho machine's cost breakdown in the past here in the forum, but unfortunately I'm not able to find it now.

Anyhow it seems $45M can buy you more than a couple of stages for a prototype machine, maybe this is a hint that we are now in a kind of pre-production for SMEE 28nm.

Orders are from end of October 2023, so maybe we can reasonably expect some SMEE delivery within Q1 2024.

@PopularScience can you please share the source of this information? Thanks.

This is the link of original document
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
 
Last edited:

gelgoog

Lieutenant General
Registered Member
Dylan Patel says that CXMT will have 7 billion dollars of equipment spending in 2024, with 3.8 billion of that going to American tool firms including $1.8 billion goes to AMAT.
I sure hope not. I doubt it makes much sense to buy brand new outdated equipment at hefty prices to make DRAM that is sold for peanuts. Because it isn't high density or high speed.

He also expect CXMT HBM 3E for AI to ship in mid-2025
Never heard of this. Sounds like wild speculation on his part. Good if true.

"Memory is one the most important scaling vectors for achieving civilization redefining AI technologies, and China is investing heavily in leapfrogging the rest of the world with these technologies and has already started implementing LLMs for propaganda / electoral subversion as well as within the PLA."
More CeeCeePee ranting nonsense on his part I see.

"CXMT also surprised us by candidly announcing their violation U.S. export controls at IEDM in San Francisco. CXMT presented their Gate-All-Around Vertical Transistors manufactured at the 18nm half pitch."
The sanctions are on sales of tools with any US components in them to make GAA transistors or 18nm or better DRAM to China. Of course in practice if CXMT proves itself able to do this then the US might just put the whole company on the blacklist instead. Pretty much a badge of honor really. It means their product is good enough to get sanctioned. When the US sanctioned YMTC I bought the largest most expensive stick of ZHITAI brand SSD I could find.

"CXMT vertical channel transistors at 18nm pitch, below export control limits"
Surprise, surprise. The tools they already had at CXMT could already make things at that resolution. You know, CXMT even went to ASML to negotiate the purchase of EUV machines at one point. But that fell through.

"CXMT violated two different portions of the US export controls. US tools can not be shipped to firms that fabricate 18nm half pitch DRAM devices. US tools cannot be shipped to firms that fabricate gate all around transistors."
Nope. They can't be exported to China. As in the country. He can't even get this right. Also AFAIK the restrictions on GAA transistors are for EDA software not physical tools.

"With the way the law is written, American tool makers such as Applied Materials, Lam Research, KLA, and Onto can now no longer ship tools to the facility at which CXMT fabricated these devices."
That isn't what the law says I think. See above. Of course what the law says and what US regulators think isn't necessarily the same thing. And if CXMT does make such devices they might just end up in the blacklist.

"Our wafer fabrication equipment model and supply chain sources point to CXMT having 7 billion dollars of equipment spending for DRAM production next year, with 3.8 billion of that going to American tool firms and Applied Materials as the largest contributor at $1.8 billion of shipments to CXMT in 2024. This spend is higher than Micron for DRAM because of the recent $5B injection into CXMT’s government backed joint venture, Changxin Xinqiao, from federal and local governments."
I sure hope not. I sure hope they won't be sending billions to these bozos who can just pull parts and support whenever they want.

You know what, China should demand these companies setup an insurance where they have to pay the money for the tools back in case they pull these kinds of stunts. Since these US companies don't even have proper assets in China, they should just deposit, say, like 50% of the machine value in a bank account in China somewhere. And the money would be returned to these US companies, say, in like 10 years if these machines operate normally and they provide proper support. If this makes US machines more expensive to buy in China, then great, hopefully no one will be stupid enough to buy them anymore. Even better, these US companies should be forced to lease, not simply sell machines to China anymore. With option to buy them outright for the residual value. And if these US companies stop supporting those tools during the lease period, then China should just disassemble these machines and return the pieces to them in lots of tiny little boxes.
 
Last edited:

tokenanalyst

Brigadier
Registered Member

Semiconductor OverLay front-end measurement equipment "Erimicro Semiconductor" completed seed round financing​

Semiconductor OverLay front-end measurement equipment "Erimicro Semiconductor" completed a seed round of financing. Source Code Capital led the investment, and Xianfeng K2VC, Zhuoyuan Capital, and Tin Venture Capital jointly invested. This round of financing will be used to focus on the research and development of localized replacement of key overlay core measurement equipment in the front line.

It is reported that last month, the Erui Micro Semiconductor front-end overlay equipment headquarters project was officially signed in Wuxi High-tech Zone. It is understood that Erui has a profound industrial background and rich technical experience in the field of semiconductor front-end overlay equipment. In the next step, it will go all out to speed up the prototype research and development progress and grow into a leading enterprise in the field of domestic semiconductor testing equipment as soon as possible, providing Wuxi The development of the integrated circuit industry in the Hi-tech Zone has made great contributions.
According to the data, Wuxi Erui Micro Semiconductor Equipment Co., Ltd. is a scientific and technological innovation enterprise specializing in the development and manufacturing of integrated circuit front-end process quantity testing equipment. It is committed to providing overlay error represented by overlay error for mass production of photolithography processes. Measuring equipment and other defect detection equipment, it has top domestic technology development capabilities and solid equipment mass production experience.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top