Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member

Shanghai Xinyang achieved revenue of 871 million yuan in the first three quarters, and its net profit increased by 716.15% year-on-year.​


Shanghai Xinyang released its third quarter performance report for 2023, stating that the company achieved revenue of 871 million yuan in the first three quarters, a year-on-year decrease of 0.77%; net profit attributable to the parent company was 114 million yuan, A year-on-year increase of 716.15%; the net profit after non-deduction was 71 million yuan, a year-on-year decrease of 23.92%; the basic earnings per share was 0.3678 yuan.

Data show that Shanghai Xinyang mainly develops key process materials for integrated circuit manufacturing, including four series of products: plating solutions and additives, cleaning fluids, photoresists, and polishing fluids. It is in the upstream link of the entire industry chain. With the rise of semiconductor manufacturing It grows with the growth of the market and plays an important supporting role in the development of the semiconductor industry.

Shanghai Xinyang said that in terms of cleaning fluid products for integrated circuit manufacturing, 28nm dry etching cleaning fluid products have been mass-produced, and dry-etching cleaning fluids after the 14nm technology node have also been mass-produced and sold. Post-etching cleaning fluid products have achieved full coverage of 14nm and above technology nodes.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Jinhaitong Semiconductor Test Equipment Intelligent Manufacturing and Innovation R&D Center Phase I Starts Construction​

The construction of the "Semiconductor Test Equipment Intelligent Manufacturing and Innovation R&D Center Phase I Project" of Tianjin Jinhaitong Semiconductor Equipment Co., Ltd. (hereinafter referred to as "Jinhaitong") started.
According to news from Tianjin High-tech Zone, the project is expected to have a total investment of 436 million yuan and is scheduled to be completed in 2026. The main construction contents of the project include new production workshops, R&D laboratories and supporting buildings, as well as the purchase of advanced production and R&D equipment.
According to reports, the smooth implementation of the Jinhaitong project will, on the one hand, help improve the product performance and customized supporting capabilities of the test sorting machine, and better meet the diversified needs of downstream packaging and testing companies, IDM companies, and chip design companies; on the other hand, It will also enhance the company's technological research and development capabilities and independent innovation capabilities.

Please, Log in or Register to view URLs content!
 

KYli

Brigadier
Please, Log in or Register to view URLs content!

China Does It Again​

A NAND Memory Market First
TechInsights has discovered the world's most advanced 3D NAND memory chip in a consumer device, and in a surprise technology leap, it comes from
Please, Log in or Register to view URLs content!
. 3D NAND memory is an essential component for high-performance computing (HPC) such as artificial intelligence (AI) and machine-learning. 3D NAND memory represents the bleeding edge of memory chip design, and is critical for high-performance, high-bandwidth computing such as AI. This is the first quad-level cell (QLC) 3D NAND die with more than two hundred active word lines that TechInsights has seen.

The 232-layer QLC 3D NAND die manufactured by YMTC (Figure 1), was found in the ZhiTai Ti600 1TB solid state drive (SSD) which was launched in July 2023 without much fanfare (Figure 2 and Figure 3). This new QLC die has the highest bit density seen in a commercially available NAND product at 19.8 Gb/mm2.


Key takeaways from this discovery include:


  • YMTC proved again the merits of the
    Please, Log in or Register to view URLs content!
    . BSSC technology adopted for Xtacking3.0 232L realized yield and performance improvements, and cost reduction as well.
  • YMTC is quietly developing advanced technology despite being hampered by issues following sanctions including limiting the company from supplying parts to Apple for China-based iPhones and being placed on the United States’ entity list.
  • The recent memory downturn, as well as many memory manufacturers focused on cost saving measures, may have provided YMTC an opportunity to pull ahead with its higher bit density 3D Xtacking NAND.
  • This discovery usurps Micron and Intel (Solidigm) who are also developing 232-layer QLC 3D NAND devices. It should be noted that Samsung is not developing QLC on its 236-layer (V8) 3D NAND because its current strategy is to focus on the V9 3D NAND TLC and QLC. However, at Samsung’s Memory Tech Day last week, the company announced the first QLC product targeting the mobile market, a 512GB UFS 3.1 product with 176-layers (V7) technology. SK Hynix is mostly focused on TLC devices rather than QLC products.
  • Like the innovation revealed by TechInsights in the Huawei Mate 60 Pro’s HiSilicon Kirin 9000s processor (which used SMIC 7nm (N+2) process) evidence is mounting that China’s momentum to overcome trade restrictions and build its own domestic semiconductor supply chain is more successful than expected.
1698243508417.jpeg
1698243525840.jpeg
 

measuredingabens

Junior Member
Registered Member

KYli

Brigadier
Poor Dutch can't say no to the US and is given a lousy reason why the ban is necessary.
Please, Log in or Register to view URLs content!
(Bloomberg) -- China’s Semiconductor Manufacturing International Corp. used equipment from ASML Holding NV to manufacture an advanced processor for a Chinese smartphone that alarmed the US, according to people familiar with the matter.

In a suggestion that export restrictions on Europe’s most valuable tech company may have come too late to stem China’s advances in chipmaking, ASML’s so-called immersion deep ultraviolet machines were used in combination with tools from other companies to make the Huawei Technologies Co. chip, the people said, asking not to be identified discussing information that’s not public.

ASML declined to comment. There is no suggestion that their sales violated export restrictions.

The firm’s shares dropped as much as 2.1% in Amsterdam after the report, and were trading 0.6% lower at €558.5 apiece as of 3:54 p.m. local time.

The US has been working with Japan and the Netherlands to prevent China from accessing advanced semiconductor technology of the kind demonstrated in the 7-nanometer chip that powers Huawei’s Mate 60 Pro, in order to curb the country’s technological advancement and prevent it from gaining a military edge.


Despite those broad restrictions, Huawei surprised the world in August when it quietly introduced its new smartphone with 5G capabilities and a cutting-edge processor. A teardown of the device conducted by TechInsights for Bloomberg News revealed the chip was produced by SMIC, demonstrating manufacturing capabilities well beyond where the US had sought to stop China’s advance.

That prompted questions both about how SMIC was able to manufacture the chip, and the effectiveness of the Washington-led controls. SMIC didn’t respond to a request for comment.

New Controls

ASML occupies a pivotal role in the global chip supply chain. It has a monopoly on advanced extreme ultraviolet lithography systems, or EUVs, that are indispensable to the production of the most cutting-edge chips, and it also supplies the deep ultraviolet lithography machines needed to make more mature semiconductors.

Read More: ASML, Europe’s Most Valuable Tech Firm, Defines Global Chip War

ASML has never been able to sell its EUV machines to China because of export restrictions. But less advanced DUV models can be retooled with deposition and etching gear to produce 7-nanometer and possibly even more advanced chips, according to industry analysts. The process is much more expensive than using EUV, making it very difficult to scale production in a competitive market environment.

In China, however, the government is willing to shoulder a significant portion of chipmaking costs. Chinese companies have been legally stockpiling DUV gear for years — especially after the US introduced its initial export controls last year before getting Japan and the Netherlands on board.

Pressure from President Joe Biden’s administration pushed the Dutch government last summer to announce plans to prohibit ASML from shipping three out of four of its most advanced-model immersion DUV lithography machines, its second-most capable category of machinery, to China without a license. ASML is still able to export those products to China at the moment but the shipments will be prohibited from January.

According to an investor presentation published by the company last week, ASML experienced a jump in business from China this year as chipmakers there boosted orders ahead of the export controls taking full effect in 2024. China accounted for 46% of ASML’s sales in the third quarter, compared with 24% in the previous quarter and 8% in the three months ending in March.

New controls that the Biden administration announced this month further limit the exports of DUV machines.

The regulations use a unilateral authority called a de minimis rule to control the sale of specified foreign-made lithography machines that contain any amount of American materials, said Stephen Bartenstein and Peter Lichtenbaum, export control attorneys at Covington & Burling LLP, without commenting on the companies affected.


ASML Chief Executive Officer Peter Wennink told investors last week that together, the new US and Dutch curbs will affect up to 15% of the firm’s sales in China.

Under the new rules, ASML can still ship its less advanced NXT:1980Di machine to Chinese facilities that make older chips
, according to Wennink. But it can’t sell to fabs that produce semiconductors near the cutting edge.

That rule affects ASML shipments to six fabs in China, according to people familiar the matter — including one SMIC facility. It’s unclear whether that is the facility that produced the 7-nanometer chip for Huawei, and the US Bureau of Industry and Security did not respond to questions on the matter.

Unilateral Move

Broadly speaking, the new US curbs bring the country’s equipment restrictions in line with those of the Netherlands, according to several industry experts. But the regulation of immersion DUV machines is one area where the US went further than its ally, in a move that risks being seen as extraterritorial bullying.

A senior Dutch official confirmed that the Netherlands’ government was informed by the US about the Oct. 17 measures. But that doesn’t mean the move went over well: A group of Dutch politicians, including lawmakers from two parties in the ruling coalition, called for their government to take a stand against the new US measures.

“Unilaterally changing the rules during a contest where competitiveness and strategic autonomy are at stake is difficult to accept, even by a good ally,” said Dutch Christian Democrat lawmaker Mustafa Amhaouch earlier this week.

ASML CEO Wennink also publicly opposed the measures and warned they might encourage China to develop competing technology. “The more you put them under pressure, the more likely it is that they will double up their efforts,” he said in a January interview with Bloomberg News.

“The United States has made a different security analysis. They are free to do so,” Dutch Foreign Trade Minister Leisje Schreinemacher said in the parliament this week. But she also said she believes the European Union should have a greater role in discussions with the US on export controls for sensitive technology and that she will bring this issue up with Prime Minister Mark Rutte in Brussels.
 

tokenanalyst

Brigadier
Registered Member
With how many of these papers are coming out, it seems that research on a domestic GAA process is well underway. We've got the FishboneFET and TreeFET GAAs in the last few weeks and etching techniques here. I wonder what is different in a GAAFET process vs a FinFET one.
I think due the structural verticality of GAA transistors they need more stringent depositions techniques like ALD, one the reasons why ASM was targeted by this stooges.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top