Chinese semiconductor industry

Status
Not open for further replies.

european_guy

Junior Member
Registered Member
Potential bottleneck to reach self-sufficiency for Advanced Technology Nodes
Wafer:

Bright field wafer inspection
Dark field wafer inspection
ebeam inspection
diffraction based ADI Overlay metrology
AEI overlay metrology
CD SEM

@hvpc sorry a very layman's question.

Wafer inspection with these tools is performed on 100% of wafers or is a sampled based?

Also these tests are performed sequentially, like first bright field then dark field, etc.. or the inspection methodology is scattered across a batch of wafers?
 

hvpc

Junior Member
Registered Member
Po
I am grateful for the big list of relevant equipment, and I agree with you that the consensus here for the timeline of indigenizing advanced nodes is probably 2-3 years ahead of how it will actually play out. I do think that it is sometimes difficult to distinguish tonally between your sober-mindedness regarding advanced nodes and an unwarranted under-appraisal of China's current capabilities in mature and legacy nodes, the latter of which I know that you do not mean to convey. It may help to distinguish by name the specific node you are speaking of when you say China lacks the capability to build a certain machine, since when you say they cannot build inspection tools without further qualification, without physically being there in person it is easy to misconstrue that as meaning across all nodes rather than just advanced ones.
Points taken. Yes, I’m always just focusing on the advanced nodes that US has blocked off. There’s really no restriction on the mature nodes at the moment.

I will not be satisfied with progress made in the mature nodes. Effort on the mature nodes is not the destination, it’s just means to get to our ultimate goal.

by the way, just because I don’t gloat about progress at say 28nm node doesn’t mean I’m not impressed. i just haven’t move the goal post, like some, with each subsequent US tightening export control.
 

hvpc

Junior Member
Registered Member
@hvpc sorry a very layman's question.
Wafer inspection with these tools is performed on 100% of wafers or is a sampled based?
Not every wafer goes through inspection and metrology. But keep in mind, there’s a lot of metrology and inspection steps for monitoring equipment stability and tool matching.

reticle on the other hand are sampled 100%.
Also these tests are performed sequentially, like first bright field then dark field, etc.. or the inspection methodology is scattered across a batch of wafers?
During development phase, BF and DF inspection are used at high sampling frequency, and sample more inspection areas per wafer. Typically after process is stabilized, D0 is down to manageable level, the sampling of product wafers will be reduced. BF sampling at HVM phase will not be as frequent as DF. sampling scheme of product wafer would be derived so we can have data to monitor different process tools these product go through.

I work mostly in R&D setting so do not have direct influence to inspection/metrology sampling strategy in HVM. Hopefully there’s some inspection expert on SDF that could correct or supplement what I shared.
 

tonyget

Senior Member
Registered Member
Software is Chinese’s forte. I’m not as worried about software aspects other than OPC side of things. Usually hardware leads them the software options on the hardware need to be added.

Do you see it differently? please add to the list as you see fit, i may have my blinders on.
If your benchmark is 14nm.

Havok said fully domestic 28nm product line will take 2-3 years to complete,so I’d expect those domestic tools you mentioned will reach maturity for 28nm at 2025-2026
 

european_guy

Junior Member
Registered Member
Not every wafer goes through inspection and metrology. But keep in mind, there’s a lot of metrology and inspection steps for monitoring equipment stability and tool matching.

reticle on the other hand are sampled 100%.

During development phase, BF and DF inspection are used at high sampling frequency, and sample more inspection areas per wafer. Typically after process is stabilized, D0 is down to manageable level, the sampling of product wafers will be reduced. BF sampling at HVM phase will not be as frequent as DF. sampling scheme of product wafer would be derived so we can have data to monitor different process tools these product go through.

I work mostly in R&D setting so do not have direct influence to inspection/metrology sampling strategy in HVM. Hopefully there’s some inspection expert on SDF that could correct or supplement what I shared.

Thanks for your reply.

So is it correct to assume that number of available meteorology tool is not so strictly related to line capacity at a given node? Differently for instance from the number of lithographic machines, i.e. if for a given capacity C at 28nm node we need N litho machines, M etchers, and so on, according to some more or less fixed process table. For metrology tools instead there is some kind of leeway, depending if process is stable, risk accepted, expected yield, fab process policy, etc..

My point is that maybe SMIC, YMTC and the others can use their already existing KLA machines and be able to increase capacity at advanced noes anyhow, maybe compromising on something else...the required number of KLA machines is not so strict as for in-line tools (litho, etch, deposition, etc)

Correct?
 

hvpc

Junior Member
Registered Member
If your benchmark is 14nm.

Havok said fully domestic 28nm product line will take 2-3 years to complete,so I’d expect those domestic tools you mentioned will reach maturity for 28nm at 2025-2026
You shouldn't count the chicken before they hatch.

I worked with mot of the tools I listed. Don't underestimate the technology and technical barriers behind them. Tools I highlighted are mostly dominated by one or two company for a reason. Take KLA for example, they don't get to achieve 60+% gross margin without being dominant in their tool offering.
 

huemens

Junior Member
Registered Member

Dutch lawmakers question new US export restrictions on ASML chip machine​

Please, Log in or Register to view URLs content!
Several Dutch lawmakers on Tuesday challenged the Netherlands' Trade Minister over whether the U.S. has acted correctly in unilaterally imposing new rules regulating the export to China of another chipmaking machine made by ASML Holding

Trade Minister Liesje Schreinemacher said during a parliamentary debate the Cabinet was not opposed to the new U.S. rules affecting Europe's largest tech firm but "this should be tackled in a much more European way."

Schreienmacher said that the Netherlands has so far negotiated with the U.S. alone over restrictions but "naturally it's better to do that in coordination with other (EU) member states."

"I've had several conversations with my colleagues in other countries about this," she said, including with European Trade Commissioner Valdis Dombrovskis and Internal Market Commissioner Theirry Breton.
 

hvpc

Junior Member
Registered Member
Thanks for your reply.

So is it correct to assume that number of available meteorology tool is not so strictly related to line capacity at a given node? Differently for instance from the number of lithographic machines, i.e. if for a given capacity C at 28nm node we need N litho machines, M etchers, and so on, according to some more or less fixed process table. For metrology tools instead there is some kind of leeway, depending if process is stable, risk accepted, expected yield, fab process policy, etc..
yes, physical wafer production is not limited by the inspection or metrology tools. You can always reduce your sampling scheme if limited metrology or inspection tools are available. But you better make sure you have very good and stable process...better than the industry leaders if you are to take risks to reduce sampling.

If an excursion happens under sampling frequency that had been stretched out, you'd risk losing a lot of wafers. The risk-to-reward is too high to take that kind of risk. Money is not as big of a concern to our domestic fabs at this stage, but there's just not unlimited money to burn. This is why you don't see fabs taking big risks to go all out and try to mass produce wafers. They have to balance out the costs aspect of things as well.
My point is that maybe SMIC, YMTC and the others can use their already existing KLA machines and be able to increase capacity at advanced noes anyhow, maybe compromising on something else...the required number of KLA machines is not so strict as for in-line tools (litho, etch, deposition, etc)

Correct?
Like I said earlier, I think you could stretch the sampling/monitor scheme a little bit. To what extent can a fab tolerate the risk is not for me to say, but I don't think it would make sense, for example, to use same amount of metrology & inspection typically for 50K wpm fab to handle 100K wpm.

Also, you have to realize, our domestic fabs are tackling new technology nodes while having little experience running at the volume they are at today. All not good recipe to allow a more relaxed sampling. An analogy would be like taking a trip to some country you never been before but taking out a much smaller insurance coverage. Maybe everything turns out okay, but when something does happen, you'll pay a big price.
 

latenlazy

Brigadier
Thanks for your reply.

So is it correct to assume that number of available meteorology tool is not so strictly related to line capacity at a given node? Differently for instance from the number of lithographic machines, i.e. if for a given capacity C at 28nm node we need N litho machines, M etchers, and so on, according to some more or less fixed process table. For metrology tools instead there is some kind of leeway, depending if process is stable, risk accepted, expected yield, fab process policy, etc..

My point is that maybe SMIC, YMTC and the others can use their already existing KLA machines and be able to increase capacity at advanced noes anyhow, maybe compromising on something else...the required number of KLA machines is not so strict as for in-line tools (litho, etch, deposition, etc)

Correct?
Metrology speeds up your process and makes it a lot more efficient by giving you more powerful tools to QC and inspect your produce. It’s pretty critical to make your process economical for industry. But it is not a strict *bottleneck* to the ability to make the chips. Insofar as it can lead to a bottleneck that’s felt more through economic viability than ability to produce. This is where I think hvpc might be pushing the point a bit too stringently. While he’s almost certainly right that there remain gaps in domestic providers of metrology tools for advanced processes, I do not think those gaps present showstoppers, even if they’re critical if you want your industrial process to be competitive to foreign fabs.
You shouldn't count the chicken before they hatch.

I worked with mot of the tools I listed. Don't underestimate the technology and technical barriers behind them. Tools I highlighted are mostly dominated by one or two company for a reason. Take KLA for example, they don't get to achieve 60+% gross margin without being dominant in their tool offering.

Eh. While none of these tools are “trivial” per se metrology tools aren’t as technically unforgiving to develop as tools that have to match the demanding consistency and performance tolerances for physical production, since they’re basically production analysis tools. This just makes them much less difficult technical challenges to surmount, so long as you already know a technique to get the resolution and details you need for a specific mode of analysis. You may not be able to design a domestic tool that works as efficiently or has as nice a software package to spit out the exact analysis workflows you want for production as you would have with a foreign tool, but if you really don’t have a choice going with a slightly worse tool that can nonetheless provide the same metrological analysis is not going to prevent you from proceeding with a process either. I think you’re overstating a bit how much of a technical blocker not being able to match the best metrology equipment foreign firms have to offer is. These are certainly important capabilities to have if you want to achieve competitive industrial efficiency but you can proceed with production without the best of the best too, even if that’s not ideal.
 

Phead128

Captain
Staff member
Moderator - World Affairs
Tools I highlighted are mostly dominated by one or two company for a reason.
Because of KLA's first mover advantage and early market entry leadership, which has a cumulative snowball effect with R&D leadership.

However, China can cultivate domestic options (even if they are second rate right now) because with a protected market, state subsidies to offset inefficiency while they iterate product improvements, and national security priority, I don't see why it will remain a one or two company anymore.

In other words, this isn't a free market where the best offering wins. A second rate offering that works decently will win the fab tenders and has room for expensive trial-and-error improvements because maximizing profitablity is not a priority, securing a sanction-proof solution is a priority. None of these tools seem exceptionally difficult, it's mainly economic considerations why incentives for adoption domestic options were ignored, not due to lack of technical capabilities.
 
Status
Not open for further replies.
Top