Chinese semiconductor industry

Status
Not open for further replies.

ansy1968

Brigadier
Registered Member
it's smic foundry in chengdu, but I'm joking, it's Huawei's foundry in Chengdu.. i also posted on my twitter last year... and got stoned for it
Sir, I experience the same BUT the info came from @Oldschool , a mentor I truly respected. Sir here I have a Chinese article that I got hold of since Thursday, It's good that Beijingwalker from PDF had posted it and I want to share with you and want your opinion.

From my recollection of the 3 core tech for EUVL, CAS and other Chinese scientific institution had successfully tackled 2 of the 3 except for the power source as the DPP is found inadequate. With recent reports of successful breakthrough in LPP and even a possible SSBM, we may say a viable Chinese EUVL is possible within 2024/2025 timeframe.

So regarding @hans_r post is correct, a possible ASML ban is much ado about nothing.


The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?​

2022-11-20 11:07 HKT
Breakthrough in EUV progress
Manufacturing is the foundation, and chip manufacturing is the top priority in the global semiconductor industry. With TSMC mastering advanced chip manufacturing technology, Samsung is firmly in the leading position, but almost all of the chip equipment produced by the two comes from the Dutch ASML company. Especially for EUV lithography machines, apart from ASML, no second company can provide it.


EUV lithography machine is the core equipment for manufacturing high-end chips. For chip process processes of 7nm and below, EUV lithography machines are required to ensure production and guarantee the yield of chips. However, foreign countries are not allowed to export such advanced equipment to mainland China.

Under the obstacle of the United States, even if ASML wants to do business in the EUV lithography machine market in China, it has more than enough energy, because 90% of the advanced components of EUV lithography machines are imported by ASML from abroad. These technologies are in the hands of foreign countries, including a large number of American technologies, and ASML cannot smoothly ship to specific customer markets.

Therefore, China must develop its own technology to achieve self-sufficiency. Regarding the research and development of EUV lithography machines, the Chinese Academy of Sciences has also broken through EUV technology and has made certain research progress in light source systems. The Chinese Academy of Sciences has publicly announced that it will enter the lithography machine to solve key problems in technical fields such as lithography machines.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

In addition, Shanghai Microelectronics also has in-depth deployment of 28nm, and there is news from the industry that it will deliver a 28nm lithography machine by the end of 2021. If you add Tsinghua University's SSMB light source research, it can also be applied in the field of high-end EUV lithography machines.

ASML starts to move
From a variety of perspectives, China is fully researching lithography machine technology, whether it is 28nm of mature process or EUV lithography machine of high-end process, it has not given up.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?


As long as you persist, you will be able to usher in rewards. Once China becomes self-sufficient in lithography machines, I am afraid it will not need to rely on foreign imports. But in the face of such a large semiconductor market in China, will ASML be willing to lose it? The answer is no.
ASML has been participating in the development of the Chinese market and has established cooperative relationships with many mainland chip manufacturers. Except for the EUV lithography machine, the rest of the equipment can be shipped smoothly to mainland China. Since EUV lithography machines cannot be sold to mainland China, increase shipments of mature technology or mid-end lithography machines.


Among them, ASML urgently reduced the price of the 28nm process lithography machine. The purpose of this is probably to occupy the domestic chip supply chain production line before the domestic lithography machine is mass-produced. On the one hand, ASML lithography machines have better quality assurance, and there will inevitably be a large number of corporate purchases after the price is reduced.
On the other hand, domestic lithography machines can be the first to enter the production line. Will domestic companies choose cheap and stable ASML lithography machines, or will they purchase domestic lithography machines that have just been mass-produced and whose yield is not clear? The answer is clear.



The best moment for China Chip
ASML is playing a big game, trying to seize the Chinese market share with cheaper lithography machines. But ASML may be disappointed. Chinese lithography machines and Chinese chips will be replaced sooner or later, and a comprehensive breakthrough in independent technology will be achieved. A series of good news keep coming. The industry believes that domestic 28nm and 14nm chips will be mass-produced by the end of this year and next year.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

The domestic 28nm lithography machine will finally usher in the day of delivery. It can be seen that China Core will usher in a century of change. Under external pressure, China Core has accelerated its growth rate instead.

This may be the best moment for China Chip. Never in history has it made significant progress in many semiconductor fields such as chip talents, equipment, manufacturing processes, and materials at the same time.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

ASML said that if the lithography machine is not sold to China, China will break through this technology within three years. This may also be the reason why ASML has to urgently reduce the price of lithography machines, and no longer sell lithography machines to China. With China's breakthroughs in a series of technologies, replacing ASML and achieving self-sufficiency is not unattainable.
to sum up

The lithography machine is known as the crown in the history of human industry. It may not be realistic to achieve the mass production level of ASML's EUV lithography machine in the short term. However, China's lithography opportunities continue to accumulate. The entry of the Chinese Academy of Sciences, the research of Tsinghua University and the complete equipment of Shanghai Microelectronics are all strong back-ups for domestic lithography machines.
China Chip is undergoing a century of change, and the lithography machine will also usher in a huge breakthrough. We look forward to making more progress with the efforts of domestic researchers.
 
Last edited:

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member

olalavn

Senior Member
Registered Member
Sir, I experience the same BUT the info came from @Oldschool , a mentor I truly respected. Sir here I have a Chinese article that I got hold of since Thursday, It's good that Beijingwalker from PDF had posted it and I want to share with you and want your opinion.

From my recollection of the 3 core tech for EUVL, CAS and other Chinese scientific institution had successfully tackled 2 of the 3 except for the power source as the DPP is found inadequate. With recent reports of successful breakthrough in LPP and even a possible SSBM, we may say a viable Chinese EUVL is possible within 2024/2025 timeframe.

So regarding @hans_r post is correct, a possible ASML ban is much ado about nothing.


The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?​

2022-11-20 11:07 HKT
Breakthrough in EUV progress
Manufacturing is the foundation, and chip manufacturing is the top priority in the global semiconductor industry. With TSMC mastering advanced chip manufacturing technology, Samsung is firmly in the leading position, but almost all of the chip equipment produced by the two comes from the Dutch ASML company. Especially for EUV lithography machines, apart from ASML, no second company can provide it.


EUV lithography machine is the core equipment for manufacturing high-end chips. For chip process processes of 7nm and below, EUV lithography machines are required to ensure production and guarantee the yield of chips. However, foreign countries are not allowed to export such advanced equipment to mainland China.

Under the obstacle of the United States, even if ASML wants to do business in the EUV lithography machine market in China, it has more than enough energy, because 90% of the advanced components of EUV lithography machines are imported by ASML from abroad. These technologies are in the hands of foreign countries, including a large number of American technologies, and ASML cannot smoothly ship to specific customer markets.

Therefore, China must develop its own technology to achieve self-sufficiency. Regarding the research and development of EUV lithography machines, the Chinese Academy of Sciences has also broken through EUV technology and has made certain research progress in light source systems. The Chinese Academy of Sciences has publicly announced that it will enter the lithography machine to solve key problems in technical fields such as lithography machines.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

In addition, Shanghai Microelectronics also has in-depth deployment of 28nm, and there is news from the industry that it will deliver a 28nm lithography machine by the end of 2021. If you add Tsinghua University's SSMB light source research, it can also be applied in the field of high-end EUV lithography machines.

ASML starts to move
From a variety of perspectives, China is fully researching lithography machine technology, whether it is 28nm of mature process or EUV lithography machine of high-end process, it has not given up.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?


As long as you persist, you will be able to usher in rewards. Once China becomes self-sufficient in lithography machines, I am afraid it will not need to rely on foreign imports. But in the face of such a large semiconductor market in China, will ASML be willing to lose it? The answer is no.
ASML has been participating in the development of the Chinese market and has established cooperative relationships with many mainland chip manufacturers. Except for the EUV lithography machine, the rest of the equipment can be shipped smoothly to mainland China. Since EUV lithography machines cannot be sold to mainland China, increase shipments of mature technology or mid-end lithography machines.


Among them, ASML urgently reduced the price of the 28nm process lithography machine. The purpose of this is probably to occupy the domestic chip supply chain production line before the domestic lithography machine is mass-produced. On the one hand, ASML lithography machines have better quality assurance, and there will inevitably be a large number of corporate purchases after the price is reduced.
On the other hand, domestic lithography machines can be the first to enter the production line. Will domestic companies choose cheap and stable ASML lithography machines, or will they purchase domestic lithography machines that have just been mass-produced and whose yield is not clear? The answer is clear.



The best moment for China Chip
ASML is playing a big game, trying to seize the Chinese market share with cheaper lithography machines. But ASML may be disappointed. Chinese lithography machines and Chinese chips will be replaced sooner or later, and a comprehensive breakthrough in independent technology will be achieved. A series of good news keep coming. The industry believes that domestic 28nm and 14nm chips will be mass-produced by the end of this year and next year.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

The domestic 28nm lithography machine will finally usher in the day of delivery. It can be seen that China Core will usher in a century of change. Under external pressure, China Core has accelerated its growth rate instead.

This may be the best moment for China Chip. Never in history has it made significant progress in many semiconductor fields such as chip talents, equipment, manufacturing processes, and materials at the same time.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

ASML said that if the lithography machine is not sold to China, China will break through this technology within three years. This may also be the reason why ASML has to urgently reduce the price of lithography machines, and no longer sell lithography machines to China. With China's breakthroughs in a series of technologies, replacing ASML and achieving self-sufficiency is not unattainable.
to sum up

The lithography machine is known as the crown in the history of human industry. It may not be realistic to achieve the mass production level of ASML's EUV lithography machine in the short term. However, China's lithography opportunities continue to accumulate. The entry of the Chinese Academy of Sciences, the research of Tsinghua University and the complete equipment of Shanghai Microelectronics are all strong back-ups for domestic lithography machines.
China Chip is undergoing a century of change, and the lithography machine will also usher in a huge breakthrough. We look forward to making more progress with the efforts of domestic researchers.
i also discussed with some my friends.... China will need a huge amount of DUV lithography by 2025 ... China's semiconductor industry is much bigger than the semiconductor industry of the future of the U.S.... also talking about EUVL, exactly saying each bottleneck is being broken.. U.S has allowed China to reshape their semiconductor industry...
 

Maddy7881

New Member
Registered Member
i also discussed with some my friends.... China will need a huge amount of DUV lithography by 2025 ... China's semiconductor industry is much bigger than the semiconductor industry of the future of the U.S.... also talking about EUVL, exactly saying each bottleneck is being broken.. U.S has allowed China to reshape their semiconductor industry...
How about the multilayer mirror, reflective optics, euv reticle and other stuffs?
 

FairAndUnbiased

Brigadier
Registered Member
How about the multilayer mirror, reflective optics, euv reticle and other stuffs?
the passive components aren't the problem. reflective optics is a straightforward application of dielectric mirror design to EUV wavelengths with known materials such as Si-Mo, and deposited with straightforward PVD techniques that are already in use to the requisite precision for higher node semiconductor devices.

the big problem has always been active components like light sources and wafer stages.
 

ansy1968

Brigadier
Registered Member
the passive components aren't the problem. reflective optics is a straightforward application of dielectric mirror design to EUV wavelengths with known materials such as Si-Mo, and deposited with straightforward PVD techniques that are already in use to the requisite precision for higher node semiconductor devices.

the big problem has always been active components like light sources and wafer stages.
Yes Bro we're nearly there, BUT for me the one I'm looking for is the arrival of the Mythical 22nm DUVL, I have the feeling that Huawei is working with CAS and other Chinese Scientific institution for its eventual introduction next year and I'm looking forward for @olalavn to break the news. :)
 
Last edited:

FairAndUnbiased

Brigadier
Registered Member
Yes Bro we're nearly there, BUT for me the one I'm looking for is the arrival of the Mythical 22nm DUVL, I have the feeling that Huawei is working with CAS and other Chinese Scientific institution for its eventual introduction next year and I'm looking forward for @olalavn to break the news. :)
one big hurdle is the resist, which either has to be water compatible, or use a water compatible and non-absorbing topcoat, and tailor processes around it i.e. a topcoat removal process prior to development. another hurdle that I know is recently solved is the water management system.
 

hvpc

Junior Member
Registered Member
the passive components aren't the problem. reflective optics is a straightforward application of dielectric mirror design to EUV wavelengths with known materials such as Si-Mo, and deposited with straightforward PVD techniques that are already in use to the requisite precision for higher node semiconductor devices.

the big problem has always been active components like light sources and wafer stages.
Bro, you are misleading everyone by trivializing the complexity behind building a production worthy lithography system.

What you said may be true for an engineering or alpha tool. Conquering the major modules that everyone is familiar with from plethora of ASML marketing slide is hardly enough to get the system into high volume manufacturing.

The devil is in the details. Details, which you called "passive" components, that ASML does not reveal to the public. Having worked with ASML to bring several scanners from development to production, I can tell you some of the subtle nuisances, functionalities is what differentiate ASML from Nikon & Canon.

We are no where near releasing a HVM ready domestic immersion or EUV system.

Even the wafer production ecosystem required to support EUV lithography is still a problem for China. Even if Chinese fabs have access to EUV system, the domestic EUV reticle process flow is no where near ready. And from what I see, there has been very little activity on this front domestically. Besides EUV photoresist, EUV reticle is also a problem.
 
Last edited:

olalavn

Senior Member
Registered Member

FairAndUnbiased

Brigadier
Registered Member
Bro, you are misleading everyone by trivializing the complexity behind building a production worthy lithography system.

What you said may be true for an engineering or alpha tool. Conquering the major modules that everyone is familiar with from plethora of ASML marketing slide is hardly enough to get the system into high volume manufacturing.

The devil is in the detail, details where ASML does not reveal to the public. Having worked with ASML to bring several scanners from development to production, I can tell you some of the subtle nuisances, functionalities is what differentiate ASML from Nikon & Canon.

We are no where near releasing a HVM ready domestic immersion or EUV system.
I did not say much about the entire system. I specifically focused on the multilayer mirror which is already into optimization regime i.e. instead of looking solely at whether it is possible, samples are routinely made for increasing resistance to damage over long EUV exposures.

Please, Log in or Register to view URLs content!

Multi-shot damage on Mo/Si multilayer induced by nanosecond EUV radiation

The experiments were carried out using the table-top EUV damage experimental setup (
Please, Log in or Register to view URLs content!
) built at IPOE, which was described in detail previously.
Please, Log in or Register to view URLs content!
A periodic Mo/Si multilayer sample designed for 13.5 nm was used for this damage test, which has a maximum reflectivity of 58% at the incidence angle of 28° with 30 bilayers. The structure of the Mo/Si multilayer is shown in
Please, Log in or Register to view URLs content!
.​


Even Russians can make 60% reflectivity EUV mirrors, though it seems they're a bit earlier in the readiness regime.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top