Chinese semiconductor industry

Status
Not open for further replies.

hvpc

Junior Member
Registered Member
As long as it does not use American equipment from Lam, Amat and Kla Tencor. Hopefully Smic can use the latest Asml DUV litho with Gigaphoton light source and replace American equipment with Japanese and Korean ones. If 5nm can be achieved in this way than Huawei has a bright future.
Per one of my previous post, SMIC is free to select Gigaphoton or Cymer for scanners they buy. I don’t know the exact split between the two lasers, but SMIC already use lasers from both Cymer and Gigaphoton.

A decade ago, I found Gigaphoton to be more stable than Cymer. But in recent years, Cymer has improved price/performance ratio over Gigaphoton. Cymer and Gigaphoton both have operations in China, so safe to assume both are sharing the FEOL scanner laser market still.
 

Hadoren

Junior Member
Registered Member
I’m almost afraid to give my opinion on this, it may not be what people want to hear.

I will say this, again, we shouldn’t trivialize this as something as simple as having all the components ready and being able to integrate them into a scanner. Having something that could be expose a wafer is just the tip of the iceberg. Even if a mass production EUV system is ready, no one can use it to build <7nm chips right away. I’m guessing >90% of people here do not realize what it take to setup a EUV process in the fab. Implementing this system is not a plug-n-play like when transitioning from KrF to ArF or ArF to ArFi. So we are many years behind on using EUV to make chips.

Someone posted or referenced a YouTube video from Asianometry. He didn’t have all the detailed information right, but what he said is roughly right.

This what ASML CEO had said about the repercussion of US sanction and how long before China catches up…I lean towards his view:

Please, Log in or Register to view URLs content!

I’m sorry if what I said disappoints or offends you. But right or wrong, m this is my honest opinion. I think you will find many actual litho experts in Chinese semiconductor space with similar assessments.
The article implies that EUV will be ready by 2037, which I guess is your answer.

There was another expert here, long back in the thread, who said the end of the decade - which I guess means 2029 to 2030.

Some here seem to hope for something like 2025, but that seems too optimistic.

Thanks for your honest insight and prediction.
 

tokenanalyst

Brigadier
Registered Member
People underestimate how fast 10 year pass, all this mess started in 2014 because U.S felled insecure in the 5G space because didn't have a champion in that arena with the big three being Ericsson, Nokia and Huawei, two Europeans and one Chinese. Article after article by "national security experts" about the need to undermine Chinese companies prompted U.S hawks to attack Huawei, what that did was to open the eyes of many Chinese that their semiconductor supply chain was really insecure. Then when Huawei started to find alternative parts to U.S suppliers and make their own, the U.S used the nuclear option: foreign direct product rule, that REALLY make U.S. technology toxic for the Chinese and localization of Software, parts, equipment and subsystems become more urgent.
Before that the biggest lover of U.S. semiconductor technology where the Chinese themselves, Huawei bought as much chips from Qualcomm as from Hisilicon. The reason why SMEE scanners didn't gain traction in China is because SMIC and other where not willing to collaborate with them help them to make their scanner better preferring to give ASML a monopoly, EUV as make in collaboration between Intel, TSMC and ASML. Why SMEE is going to invest time and money in Area were they are alone? Their money is better off investing in areas were they are making money. The same is story is been with other equipment manufacturers. But U.S. sanctions has make the need for localization really really really urgent in China, even for pro-U.S. companies like SMIC that who are now feeling the wrath of U.S sanctions.
Companies are becoming nervous about the possibility of losing a big market and they are lobbying the hell out of U.S politicians to avoid that, the problem is that U.S. hawks are ideologues,they do not understand the dynamics of the industry and they had a lot of power. They think that the best way to win the race is to shoot themselves in the foot so when they fall that will provoke that the runner that is behind will fall too. And the irony is the if China become proficient making their own SME, the U.S will lose their biggest asset in China: Transparency.
So Export controls in semiconductors has always been adjusted according to China potential capabilities, if that wasn't the case the best scanner they would be allowed to import would be a ArF dry one, hear me now quote me later at the moment that the Chinese have a working prototype of an EUV scanner ASML will lobby to oblivion to ship theirs to China.
That why i say 2025 even if that sound overoptimistic for a prototype, doesn't have to be a production ready EUV scanner. They already had a lot of hardware and knowledge.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
As long as it does not use American equipment from Lam, Amat and Kla Tencor. Hopefully Smic can use the latest Asml DUV litho with Gigaphoton light source and replace American equipment with Japanese and Korean ones. If 5nm can be achieved in this way than Huawei has a bright future.

I think SMIC should concentrate on developing its own ability and try to stay off sanction list as long as possible. That means don't get close to Huawei. Huawei will need to figure things out itself. China is a big country. Don't focus your attention on 1 company. Plenty of companies for SMIC to supply that will help China's development.

The article implies that EUV will be ready by 2037, which I guess is your answer.

There was another expert here, long back in the thread, who said the end of the decade - which I guess means 2029 to 2030.

Some here seem to hope for something like 2025, but that seems too optimistic.

Thanks for your honest insight and prediction.
It's not helpful for you to ask for his perspective on something that far ahead. It won't be any different than what a lot of other people in the industry outside of China thinks. They are going to be conservative with their answers based on their own developmental experience and where they think China is at. But there are a lot of factors going against that:
1) China has 996 work culture and not the relaxed corporate culture in many western firms that favor lifestyle over work. I prefer the latter, but the former drives result sooner.
2) Western firms are driven by short term profit goals. The private Chinese firms are not driven by such goals.
3) China has unlimited funding devoted here because it has no alternatives.
4) It's always easier to catch up than lead since more resources are available once something is already developed.

So, don't waste your time asking him about something that is at minimum a few years away. You are going to get overly conservative answers.

However, it does seems like he has some good present knowledge based on his contact.
 
Last edited:

european_guy

Junior Member
Registered Member
I heard from my contact that ICRD in Jiading had taken delivery of a NXT1980. Not installed yet.

This is another sensible piece of information.

ASML recentlly stated that foresees in China the same sales level in 2022 as in 2021...but knowing where the litho machines actually go and when is a not-public info and very difficult to get. In this case we have even the ASML model, so kudos!

Please, Log in or Register to view URLs content!
 

hvpc

Junior Member
Registered Member
The article implies that EUV will be ready by 2037, which I guess is your answer.

There was another expert here, long back in the thread, who said the end of the decade - which I guess means 2029 to 2030.

Some here seem to hope for something like 2025, but that seems too optimistic.

Thanks for your honest insight and prediction.
I think 2037 is too pessimistic but 2030 for a production ready system is just a tad optimistic. 2030 to delivery a production worthy system and 2037 to catch up to asml (more marketshare than asml) would be good targets to aim for.

I kept pointing out there’s more to it than just EUV scanner itself. To have a efficient 5nm capability, we will also need a very good DUV immersion systems, good metrology system, resist, and developer. And this is just for patterning.

Then there’s the pellicle issue. And what about reticle inspection? And the fast multi-beam reticle writer that can handle highly aggressive OPC patterns? All if these are all not-so-easy and hence all dominated a single vendor. And this phenomenon is not because of no competition…no, it’s because the technology is so special that only one came through.

So while we are all talking about when EUV will be delivered, what about all the other bottlenecks that will hinder indigenous EUVL adoption?

It’s one thing to be optimistic and nationalistic. But in the industry we all know it’s necessary to be realistic and not keep up with the useless rhetorics to further throw fuel into the fire. Why give the US politician more motivation to sanction China? I’d say, it’s better to stay low key and chip away at the roadblock and fly under radar is a better approach.
 

hvpc

Junior Member
Registered Member
I think SMIC should concentrate on developing its own ability and try to stay off sanction list as long as possible. That means don't get close to Huawei. Huawei will need to figure things out itself. China is a big country. Don't focus your attention on 1 company. Plenty of companies for SMIC to supply that will help China's development.


It's not helpful for you to ask for his perspective on something that far ahead. It won't be any different than what a lot of other people in the industry outside of China thinks. They are going to be conservative with their answers based on their own developmental experience and where they think China is at. But there are a lot of factors going against that:
1) China has 996 work culture and not the relaxed corporate culture in many western firms that favor lifestyle over work. I prefer the latter, but the former drives result sooner.
2) Western firms are driven by short term profit goals. The private Chinese firms are not driven by such goals.
3) China has unlimited funding devoted here because it has no alternatives.
4) It's always easier to catch up than lead since more resources are available once something is already developed.

So, don't waste your time asking him about something that is at minimum a few years away. It seems like he has some good present knowledge based on his contact.
What you said is fair from your perspective.

But could I ask if you don’t understand what it takes to build a machine and how to implement it into production, why are you so sure of the optimistic expectations you set?

How many people in China really know how to implement a system for advanced nodes? Why do you think Chinese fabs are paying 3x 4x salary to lure those with experience to help build up Chinese fab capability?

Having access to the most advanced equipments is not even a guarantee to acquire capability to make advanced chips.

So while you trivialize the complexity behind semiconductor manufacturing, excuse me for being realistic and recognizing the hurdles we need to go through. It’s like you have the CEO perspective…go do so and so by the end of the week…but it’s technical guys that have to do the actual work that really know if it could be done by the random target date you throw around.

You do you. Be optimistic. But, do dig a bit deeper and recognize the challenges and reassess your view a bit.
 

hvpc

Junior Member
Registered Member
People underestimate how fast 10 year pass, all this mess started in 2014 because U.S felled insecure in the 5G space because didn't have a champion in that arena with the big three being Ericsson, Nokia and Huawei, two Europeans and one Chinese. Article after article by "national security experts" about the need to undermine Chinese companies prompted U.S hawks to attack Huawei, what that did was to open the eyes of many Chinese that their semiconductor supply chain was really insecure. Then when Huawei started to find alternative parts to U.S suppliers and make their own, the U.S used the nuclear option: foreign direct product rule, that REALLY make U.S. technology toxic for the Chinese and localization of Software, parts, equipment and subsystems become more urgent.
Before that the biggest lover of U.S. semiconductor technology where the Chinese themselves, Huawei bought as much chips from Qualcomm as from Hisilicon. The reason why SMEE scanners didn't gain traction in China is because SMIC and other where not willing to collaborate with them help them to make their scanner better preferring to give ASML a monopoly, EUV as make in collaboration between Intel, TSMC and ASML. Why SMEE is going to invest time and money in Area were they are alone? Their money is better off investing in areas were they are making money. The same is story is been with other equipment manufacturers. But U.S. sanctions has make the need for localization really really really urgent in China, even for pro-U.S. companies like SMIC that who are now feeling the wrath of U.S sanctions.
Companies are becoming nervous about the possibility of losing a big market and they are lobbying the hell out of U.S politicians to avoid that, the problem is that U.S. hawks are ideologues,they do not understand the dynamics of the industry and they had a lot of power. They think that the best way to win the race is to shoot themselves in the foot so when they fall that will provoke that the runner that is behind will fall too. And the irony is the if China become proficient making their own SME, the U.S will lose their biggest asset in China: Transparency.
So Export controls in semiconductors has always been adjusted according to China potential capabilities, if that wasn't the case the best scanner they would be allowed to import would be a ArF dry one, hear me now quote me later at the moment that the Chinese have a working prototype of an EUV scanner ASML will lobby to oblivion to ship theirs to China.
That why i say 2025 even if that sound overoptimistic for a prototype, doesn't have to be a production ready EUV scanner. They already had a lot of hardware and knowledge.

2025 for a prototype is possible. that would have to be the case to build it up to be at the current asml EUV production systems. it took asml more decade to go from shipping prototype to the latest production system.
 

ZeEa5KPul

Colonel
Registered Member
However, it does seems like he has some good present knowledge based on his contact.
No, he doesn't. He's most probably just a LARPer who was previously banned (I have a couple of people in mind as to whose alt he could be) and is back spreading FUD. He's wrong on basic facts like SMEE having already delivered 28nm ArFi scanners to at least SMIC and probably more customers.
 

tokenanalyst

Brigadier
Registered Member
What you said is fair from your perspective.

But could I ask if you don’t understand what it takes to build a machine and how to implement it into production, why are you so sure of the optimistic expectations you set?

How many people in China really know how to implement a system for advanced nodes? Why do you think Chinese fabs are paying 3x 4x salary to lure those with experience to help build up Chinese fab capability?

Having access to the most advanced equipments is not even a guarantee to acquire capability to make advanced chips.

So while you trivialize the complexity behind semiconductor manufacturing, excuse me for being realistic and recognizing the hurdles we need to go through. It’s like you have the CEO perspective…go do so and so by the end of the week…but it’s technical guys that have to do the actual work that really know if it could be done by the random target date you throw around.

You do you. Be optimistic. But, do dig a bit deeper and recognize the challenges and reassess your view a bit.
I know, is hard and is getting harder. Even having the most advanced equipment doesn't guaranteed the best results.

Please, Log in or Register to view URLs content!
1647783305230.png
That is why i think advanced packaging will be a big driver i the post Moore era.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top