Chinese semiconductor thread II

tokenanalyst

Brigadier
Registered Member

SiCRED completes Series A+ financing​



Zhixin Microelectronics ( SiCRED ) recently completed the A+ round of financing. This round was invested by Shenzhen Major Industrial Investment Group, and the old shareholder Shenzhen High-tech Investment will continue to invest to jointly promote technological innovation and market expansion in the field of silicon carbide power devices.

SiCRED was established in 2021 and has been committed to the research and development, production and sales of silicon carbide power devices. Its main products are silicon carbide MOSFETs and modules. The silicon carbide device products launched by the company have been recognized by customers in photovoltaics, new energy vehicles, industry and other fields.

1706711540633.png

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Shengmei Shanghai: When purchasing photolithography machines, KrF-line is the primary target​


Question: Is the construction of the company's "R&D and process testing platform project" mainly aimed at Track?
Answer: The company currently has cleaning equipment, copper plating equipment, furnace tube equipment, PECVD, Track and other products. The company hopes to conduct combined and consistent tests. First, the silicon wafer is cleaned, and then the coating is completed through the furnace tube and PECVD. Then, through the docking of the Track and the photolithography machine, the glue coating, exposure, and development are completed, and then it is entered into the etching equipment of the experimental line for dry etching, and then Remove glue and clean.

Q: What considerations does the company have in selecting lithography machine models?
Answer: The company does not give priority to advanced lithography machines in purchasing lithography machines. KrF-line is the company’s primary goal. However, the final model selected has not yet been determined. Both domestic and international models are acceptable. The main reasons for choosing KrF-line are the following: 1) KrF-lineTrack equipment has the largest market because it has the largest number of process steps, including advanced and mature processes; 2) A foreign company will launch the next generation of 400WPH at the end of this year KrF-line lithography machine, which requires Track to achieve a high output rate of 450WPH; 3) The 300WPH KrF-line model Track equipment is the company’s first product to enter the market, and this year the company will also develop an immersion type ArFTrack device. Therefore, the company's current strategy is to start with KrF-line and 300WPH, and then gradually develop 400WPH. In addition, the company's Track equipment aims not only to solve the problem of localization, but also hopes to enter the global market.

Question: Can the leader describe the company's iterative R&D project for high-end semiconductor equipment?
Answer: The first is the cleaning equipment. At this stage (as of the end of last year), the company has successfully mastered 95% of the equipment for the cleaning process steps. The company’s cleaning equipment includes the front side of the single chip, the back side of the single chip, edge etching, high-temperature sulfuric acid, Tahoe Single-chip tank combined cleaning, megasonic cleaning, advanced IPA drying technology and supercritical CO2 cleaning and other products cover a wide range of processes. At this stage, the company's goal is to make the company's products more practical and better.

Question: Can you share the specific progress of several important overseas customers of the company?
Answer: 1) At present, a large customer in the United States has given good feedback on the product, and there is a high chance that repeat orders can be negotiated in the future; 2) The European customer's equipment entered the market in September last year and is currently being installed. The process is relatively smooth. Follow-up will follow this After the verification of a piece of equipment by this major European customer is completed, there will be repeated orders in the future; 3) The company is also focusing on developing some major customers in Singapore and surrounding areas. Singapore will be a hot spot for semiconductors in the future, and the company will closely follow this market; 4) In terms of the Taiwan market, the company has been actively exploring and currently has customers, especially in electroplating equipment. Many customers are using the company's equipment. This area will also be a highlight of the company in the future; 5) South Korea, South Korea Sea Lux has been a customer of the company since 2011. At this stage, the company is also cooperating with it in many aspects, not only cleaning equipment, but also several other existing product companies are actively promoting cooperation with it. To sum up, in terms of internationalization, the company has made certain achievements in recent years. This year and next will be the company's focus. It can be the first to launch the company's cleaning and electroplating equipment into the market, and then bring the furnace tube equipment in China. , ALD, PECVD, and Track were successively launched into the international market after verification. Thanks!

Q: Could you please tell me about the company’s current product progress and plans for high-end applications?

Answer: In terms of high-end applications, the company has mass-produced cleaning and electroplating equipment and received batch orders. In terms of electroplating equipment, Shengmei equipment can currently meet customer needs on the production line. In addition, furnace tube equipment, Track, PECVD and other parts, furnace tube equipment is currently progressing relatively quickly, because ALD has been successfully developed and has been produced at the client for verification, and the number of customers will expand significantly this year.


Q: The company’s current layout of advanced packaging equipment and its current progress?
Answer: The company has been laying out advanced packaging for a long time. Around 2013 and 2014, the company started to make cleaning equipment and glue coating and development equipment. At present, the company is an enterprise with the most complete line of advanced packaging wet equipment in the world, such as cleaning, wet etching, glue coating, development, glue removal, electroplating and polishing equipment (such as electropolishing), etc., which have been used in many clients. Big production line.

Question: Currently, the company has a comprehensive range of equipment for advanced packaging layout. Which equipment is the company making faster progress at the moment?
Answer: The company mainly cooperates with international customers in advanced packaging. In the next 5 to 10 years, advanced packaging will become more and more important in the chip industry. Its depth, dimension, and technical difficulty are all increasing. The company's future strategy will further increase its focus on advanced packaging. In terms of R&D investment and market development in packaging equipment, an important direction for the company's international market development in the future is to introduce copper plating equipment into global markets such as South Korea, Taiwan, the United States, and Europe.

Question: Does the company have any order goals for 2024?
Answer: The company is relatively optimistic about the prospects for 2024, especially the Chinese market will be better than last year. The company is confident about the development of the Chinese market in the next few years. In 2024, the company's furnace tube series products will join the sales ranks. In 2025, the company's Track and PECVD will also join the sales ranks to support the company's rapid growth in the next 5 to 8 years. At present, the company has set strategic goals to achieve domestic sales accounting for 50%, overseas sales accounting for 50%, and total sales reaching 35 billion yuan, thereby entering the first echelon of global semiconductor equipment;

Please, Log in or Register to view URLs content!
 

gelgoog

Brigadier
Registered Member
I do not get it. Isn't "Shengmei Shanghai" basically ACM Research. Why are they talking about lithography machines?
It seems like they are basically doing a small R&D production line so they can test their machines on a whole production flow. I think that's it.
 

tokenanalyst

Brigadier
Registered Member

Daikin Fluorine Chemicals’ ultra-high-purity dry etching materials increase the localization quality construction of China’s semiconductor industry chain.​


Daikin Fluorine Chemicals is committed to innovating the preparation method of dry etchants, providing full-process production starting from raw material synthesis, effectively ensuring stable supply and product quality, and providing customers with one-stop services for ultra-high purity solutions. It is a global leader in There are very few chemical manufacturers in the industry that can provide both dry and wet etchants. At present, Daikin Fluorine Chemical's electronic special gas products include CF4, C2F6, C4F8, CHF3, CH2F2, C4F6, and COS. The purity of all the above brands of electronic special gas products is as high as 99.999%, which can meet the requirements of the industry's advanced process (2nm). In addition, Daikin Fluorochemical's etching solution (HF49%) is an indispensable chemical solution that is used extensively in the cleaning and etching stages of semiconductor manufacturing.

As a well-known chemical manufacturer that has been rooted in China for more than 20 years, Daikin Fluorochemicals has been proactively engaged in the semiconductor field, continuously investing a large amount of capital, talents and technical resources to fully empower the construction of China's local semiconductor supply chain - with an investment of 1.5 billion yuan Establish Daikin New Materials (Changshu) Co., Ltd. to enter high-precision local manufacturing including the semiconductor industry, and upgrade the production capacity of key materials such as dry and wet etchants; at the end of 2023, Daikin Qingyan Advanced Technology (Huizhou) Co., Ltd. will be opened to focus on semiconductors The high-quality perfluoroether rubber sealing ring widely used in the field further upgrades local manufacturing. It can be said that Daikin Fluorine Chemicals is actively promoting the improvement and upgrading of the local semiconductor industry chain with practical actions, and is committed to meeting the high quality standards and high efficiency needs of domestic semiconductor customers.

Please, Log in or Register to view URLs content!
 

Attachments

  • 1706731245358.png
    1706731245358.png
    404.4 KB · Views: 1

tokenanalyst

Brigadier
Registered Member

Tesidi: Breaking through the 8-inch SiC problem, shipments increased by 87.5%.​


Tesidi 2023 Equipment shipments have increased by 87.5% compared with last year .

Demand in the silicon carbide industry continues to grow. Domestic and foreign manufacturers are accelerating research and development and production expansion. Domestic leading silicon carbide material companies have broken their monopoly and achieved breakthroughs in 8-inch silicon carbide substrates and epitaxy. Tesidi closely followed the market demand and took the lead in successfully developing 8-inch silicon carbide fully automatic thinning equipment to enter the market. The 8-inch double-sided polishing equipment passed process testing and entered the mass production stage. Through the traction of key equipment, the company solves the localization of complete sets of equipment to meet the needs of diversified grinding and polishing processes, and maintains its core technological advantages of leading technology, superior performance, and stable processes.

1706736474059.png

Tesidi accelerated the research and development of new products and further expanded its product line. Among them, it launched a new dual-station single-sided polishing machine in the field of silicon carbide substrates, which has a 100% increase in efficiency compared with a single machine . Independently developed the first fully automatic CMP post-cleaning machine. In order to meet the market demand for diamond substrate processing, a diamond polishing machine was developed, which can achieve high-speed and efficient grinding and polishing of diamond substrates. All equipment functions and process indicators have reached the international first-class equipment level.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!

 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
50W无线充+K9旗舰芯+潜望镜+双向北斗卫通+50Mp超大底主摄,计划下放这一整套方案了
The guy that broke the return of Kirin news has now revealed the base version of P70 will come with flagship Kirin-9000 chip s well as 2-way beidou communication, 50 MP CIS and 50W wireless charging
 

PopularScience

Junior Member
Registered Member
Rumour that ChangXin will produce hbm.

传长鑫存储即将生产我国首款高宽带存储芯片。日经亚洲今天披露,位于合肥的长鑫存储技术有限公司准备生产高带宽存储芯片,这是人工智能计算的关键组件。
 

tokenanalyst

Brigadier
Registered Member

TCL CSOT and Lenovo jointly build innovative display joint laboratory in Wuhan Optics Valley​

the innovative display joint laboratory jointly built by TCL CSOT and Lenovo was unveiled in Optics Valley. The two companies will focus on cutting-edge fields such as OLED flexible displays and foldable displays to jointly develop global pioneering display technologies and broaden the boundaries of the display industry.
It is reported that this is another new cooperation between TCL Huaxing and Lenovo after cooperating to launch world-leading products such as moto Razr40 Ultra . The scope of cooperation between TCL Huaxing and Lenovo has expanded to mobile phones, IT and other fields.
In 2023, the moto Razr40 Ultra, a new folding screen product jointly developed by Lenovo and TCL CSOT, will be launched.

Please, Log in or Register to view URLs content!
 
Top