Chinese semiconductor thread II

tokenanalyst

Brigadier
Registered Member

Zhichun Technology (PNC Systems): Strong order growth, striving to achieve 80% localization rate of semiconductor equipment parts this year​


Domestic semiconductor equipment industry still delivered impressive results last year. Benefiting from the rise of domestic wafer fab construction and the continuous advancement of localization, the domestic semiconductor equipment sector has maintained a high level of prosperity. Supported by the demand for localization, mainland China has become the world's largest semiconductor equipment market for four consecutive quarters. In terms of sales, according to SEAJ statistics, global semiconductor manufacturing equipment sales in the first quarter of this year were US$26.42 billion, a year-on-year decrease of 2%; mainland China bucked the trend and increased significantly, with an increase of 113% over the same period.

Since its listing in 2017, Zhichun Technology has allocated its core resources to the integrated circuit field, and currently 80% of its business is in the semiconductor industry. The 2023 annual report shows that Zhichun Technology has become a leader in high-purity process systems and wet process equipment in the domestic integrated circuit field, and has successfully become one of the main domestic suppliers of bulk gases for mainstream integrated circuit manufacturers of 28 nanometers and above in China.

In the first quarter of this year, new orders totaled 1.377 billion yuan, of which new orders for process equipment totaled 513 million yuan. She also emphasized that the company's main task this year is to complete the backlog of orders in the past two years. At the same time, it is expected that the target for new equipment orders for the whole year will be between 1.5 and 2 billion yuan, which is expected to achieve a significant increase of 80-100% compared with last year, especially actively increasing the proportion of high-end equipment orders.

It is reported that Zhichun Technology's current high-end products, including SPM high-temperature sulfuric acid, degumming, back-end cleaning and other cleaning equipment, have made breakthroughs and have been delivered to many domestic mainstream wafer fabs. Among them, Zhiwei's S300 SPM machine has accumulated more than 500,000 pieces of output on the user's mass production line as of the end of April this year, which is an important milestone for domestic substitution of imported high-end wet process equipment. At present, Zhiwei is the only manufacturer in China that has achieved the above-mentioned single-machine mass production indicators for single-wafer sulfuric acid equipment.

While equipment orders continue to grow, delivery times are also lengthening, partly due to supply bottlenecks for parts. Ren Muhua said that over the past two years, the company has been accumulating and building localized parts to address the problem of longer delivery times for imported parts. By 2022, 42% of the company's parts have been localized, and the goal is to achieve 80% local supply chain switching this year. The main bottleneck of domestic parts is the improvement of product yield, which requires the company to work closely with them to jointly solve technical problems in the production process and ensure that the products meet high standards.

In this regard, Zhichun Technology has successively invested in a number of materials and parts companies to drive and support the growth of the domestic supply chain. Jiang Yuan emphasized that Zhichun Technology helps domestic suppliers improve yields and meet the company's needs through strategic investment or order-driven investment cooperation. The latter helps suppliers share costs through a large number of orders while improving the quality of parts. The establishment of long-term cooperative relationships allows Zhichun Technology to ensure the stability of the supply chain while helping partners with capacity planning. Through quality control and feedback mechanisms, the company promotes continuous product improvement by parts manufacturers. Zhichun Technology's cooperation model not only enhances the capabilities of the domestic supply chain, but also strengthens its own market competitiveness.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Zhanxin Electronics' third-generation 1200V SiC MOSFET process platform is now in mass production​


Recently, the 1200V 13.5mΩ SiC MOSFET product (IV3Q12013T4Z) developed by Shanghai Zhanxin Electronic Technology Co., Ltd. ("Zhanxin Electronic") based on the third-generation process platform has passed the automotive-grade reliability (AEC-Q101) test certification; at the same time, Zhanxin Electronic's third-generation 1200V SiC MOSFET process platform has officially entered mass production, and will subsequently launch more third-generation SiC MOSFET products based on the automotive-grade silicon carbide (SiC) wafer factory in Yiwu, Zhejiang.

Zhanxin Electronics' third-generation 1200V 13.5mΩ SiC MOSFET currently has three products: IV3Q12013T4Z, IV3Q12013BA, and IV3Q12013BD. They are mainly used in automotive electric drive systems. With their outstanding performance, they have been awarded projects by many automotive electric drive customers.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

The self-sufficiency rate of high-end equipment increases, and the semiconductor equipment leader opens up a new pattern with new technology​


In recent years, domestic semiconductor equipment has achieved a qualitative leap from nothing to something, from weak to strong. my country's semiconductor industry ecology and manufacturing system have been continuously improved, and the self-sufficiency rate of domestic high-end equipment has gradually increased.

As a gathering place for my country's "hard technology" enterprises, the Science and Technology Innovation Board has formed an integrated circuit industry cluster with a market value of over one trillion yuan, with more than 110 listed companies, accounting for more than 60% of the number of A-share listed companies in the same industry. Among them, semiconductor equipment listed companies cover multiple key links in semiconductor manufacturing, such as etching, thin film deposition, CMP, testing, cleaning, coating and development. At the meeting, many company leaders talked about the development history and achievements of my country's semiconductor equipment in combination with their respective sub-sectors.

Yin Zhiyao, Chairman and General Manager of AMEC, said that the company is committed to becoming a platform-based enterprise covering high-end key equipment such as etching and thin film. At present, etching equipment has been widely used in integrated circuit processing and manufacturing production lines at home and abroad, and a number of newly developed thin film equipment has also quickly entered the market. In addition, AMEC has also formed a good cluster synergy effect through investment in integrated circuits and pan-semiconductor complete equipment, as well as key components in the upstream and downstream of the supply chain.
Tuojing Technology focuses on the research and development and industrialization of semiconductor thin film deposition equipment and hybrid bonding equipment. "The company's PECVD, SACVD, and HDPCVD equipment process types have been fully covered, which can support all dielectric film materials and more than 100 process applications required in logic chips and memory chips. The company's newly launched wafer-to-wafer hybrid bonding equipment is the first hybrid bonding equipment used in mass production in my country." said Lv Guangquan, chairman of the company.

Huahai Qingke launched the first 12-inch chemical mechanical polishing (CMP) equipment in China. Zhang Guoming, general manager of the company, said that based on CMP, the company has expanded into thinning equipment, dicing equipment, wet equipment, wafer regeneration, key consumables and maintenance services, and has initially realized the platform-based strategic layout of "equipment + service".

Zhongke Feiteng focuses on the field of high-end semiconductor quality control. Chen Lu, chairman and general manager of the company, said that the company has formed a product portfolio of nine series of equipment and three series of intelligent software, which can meet the optical inspection and measurement needs of mainstream domestic customers.

Rationally look at the advantages and disadvantages of current development "At present, there are hundreds of semiconductor equipment companies in China, and more than 20 of them are relatively mature. Behind this are sufficient financial support, accumulation of high-end R&D talents, and new-quality production relations that match new-quality productivity," said Yin Zhiyao.
Lu Guangquan believes that the advantage of domestic semiconductor equipment companies lies in the fact that the company's management and technical teams are closer to major customers and can provide efficient technical support and after-sales service; they must increase their efforts to continue to innovate and continuously narrow the technological gap with foreign manufacturers through research and development.

Zhang Guoming believes that domestic equipment has certain advantages in technical support capabilities for key processes, production capacity and product delivery guarantee, and production costs, so standards should not be lowered. "But at the same time, there are deficiencies in domestic verification conditions and process data accumulation, and some equipment has homogeneity problems, internal friction is serious, and competition is fierce," he said.
Chen Lu said that the performance indicators of China Science and Technology Atomic Test equipment are highly competitive, but there is still a certain gap with foreign manufacturers in terms of corporate scale, brand awareness, etc., and it needs to iterate quickly and catch up. New technology may open up a new pattern The semiconductor industry has always been characterized by "one generation of equipment, one generation of process, one generation of product". At present, driven by a new round of scientific and technological revolution and industrial transformation, what are the new technologies and processes that may have a disruptive impact on the future semiconductor industry?

Yin Zhiyao proactively proposed a number of cutting-edge technology fields, including three-dimensional devices, AI applications, and brain science. He said that AMEC will seize the market opportunity of industrial upgrading and give full play to the advantages of China in equipment links such as etching and thin film deposition.

"With the advent of the 'post-Moore era', the semiconductor industry no longer relies solely on shortening process limits to achieve optimal chip performance and complex chip structures, but instead turns to new chip design architectures and chip stacking methods to achieve this, which has created new equipment requirements, namely hybrid bonding equipment," Lu Guangquan believes.
Huahai Qingke and Zhongke Feice expressed their concern about advanced packaging technologies such as chiplets and HBM. Zhang Guoming said that the surge in demand for cloud computing, AI computing power, and new energy has given rise to advanced packaging technologies and processes such as chiplets and HBM based on 2.5D and 3D packaging technologies. Huahai Qingke's main CMP equipment and thinning equipment are key core equipment for chip stacking technology and advanced packaging technology, and will be more widely used.

"AI applications have brought many technological innovations to integrated circuit manufacturing, including 2.5D and 3D packaging used in HBM, which have put forward higher requirements for detection and measurement equipment. China Science and Technology Feiteng already has product applications and plans in related fields." Chen Lu introduced.

When talking about the company's future development strategy, "internationalization" became a common topic. Yin Zhiyao said that AMEC has been determined to become an international semiconductor equipment company since its establishment. At present, the expansion of overseas markets has achieved certain results, and in the future, it will continue to promote the degree of internationalization through multiple measures.​
 

tokenanalyst

Brigadier
Registered Member

With a total investment of 1 billion yuan, the Ruisheng Semiconductor project is expected to be put into production in July​


Recently, at the construction site of the key components industrialization project for integrated circuit manufacturing equipment of Lishui Ruisheng Semiconductor Technology Co., Ltd. in Lishui Economic Development Zone, the reporter saw that under the precise dispatch of construction management personnel, transport vehicles shuttled back and forth, and various types of machinery operated in an orderly manner, jointly playing a "march" of hard work and sprint to overcome difficulties.

Ruisheng Semiconductor is a second-level subsidiary of Ningbo Jiangfeng Electronic Materials Co., Ltd. The latter is a national high-tech enterprise specializing in the research and development and production of high-purity metal materials and sputtering targets for ultra-large-scale integrated circuits. It has 570 invention patents and has formulated 15 national and industry technical standards. The ultra-high purity metal sputtering targets it has developed and produced fill the domestic gap.

As one of the three major projects invested by Ningbo Jiangfeng Electronics in Lishui, the Ruisheng Semiconductor Integrated Circuit Manufacturing Equipment Key Components Industrialization Project has a total investment of 1 billion yuan. It will be attracted and landed in 2022. It mainly builds a production line for large-scale sputtering equipment components for integrated circuits, and produces transmission platforms, reaction chambers, transmission chambers, welding reaction chambers and other products. After completion and production, it can achieve an annual production capacity of 6,000 sets of parts for integrated circuit manufacturing equipment, and is expected to achieve an annual output value of 1.5 billion yuan and tax revenue of 110 million yuan.

Please, Log in or Register to view URLs content!
 
Top