Chinese semiconductor industry

Status
Not open for further replies.

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
008Ah8PJgy1hkczrst0r1j31ak0qu4qp.jpg

saw this post online of # of days of inventory each domestic chip design firm has. What's evident here is jut the unhealthiness of some of these inventory level back in Q1

Loongson was extraordinarily unhealthy at 974 days, but looks like it has been terrible since it switched to LoongArch. So ecosystem is badly needed here

Rockchip also saw inventory explode to over 600 days.

ChipSea and quite a few MCU producers also saw high inventories.

Gigadevice looks relatively healthy vs others.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Well IMO the real problem for CXMT is that the LPDDR5 demand will be way higher than what they can supply.

Juts for reference all current
Please, Log in or Register to view URLs content!
,
Please, Log in or Register to view URLs content!
, and even all the
Please, Log in or Register to view URLs content!
chips but the 8 series (i.e. 4, 6 and 7 series) use LPDDR4x or LPDDR5 memory. Only the very high end Snapdragon 8 and Mediatek Dimensity moved to LPDDR5x.

The big issue for CXMT, YMTC and SMIC at the moment is to ramp up production of their current advanced nodes. They will easily sell all advanced chips they are able to produce, at least for the foreseeable future.

Ramp up production is the huge and very hard challenge for them considering that also exports of ASML DUVi machines starting 2024 will be limited and that the largest part of the domestic replacement for other kind of equipment is currently still in validation phase for advanced nodes below 28nm.

Apart from lithography, that is a (big) separated topic, Chinese SME have conquered 28nm in 2023. It will take them the whole 2024 and IMHO also 2025 to move down to 7nm for volume production.

Please, Log in or Register to view URLs content!
SK Hynix officially supplied customers with 16GB capacity LPDDR5T (Low Power Double Data Rate 5 Turbo) products in November, marking the fastest mobile DRAM product with a transmission speed of up to 9.6Gbps.

Micron introduced LPDDR5X DRAM in 2022, with its LPDDR5X-9600 utilizing the latest 1β process technology. With a maximum capacity of 16GB, its speed is 12% higher than the previously fastest LPDDR5X-8533.
does look to me that CXMT need to get to LPDDR5x to be competitive. Huawe would want them their for its flagship phones.

I think getting to 16GB & LPDDR5x would put CXMT almost competitive with "the big boys" in terms of phone DRAM products.

D1z + LPDDR5X in production by 2025 would be a good progress. Aside from the fastest phones, it's a competitive product. 8500MB/ps vs 9600. Difference isn't that large
 

tokenanalyst

Brigadier
Registered Member

The total investment is 10.3 billion yuan! ASB chip advanced packaging and testing project starts​


On November 25, an on-site promotion meeting for the construction of major high-quality development projects in Qingdao in the fourth quarter of 2023 was held. Jinjiaozhou news shows that at the Jiaozhou branch venue, 25 projects represented by advanced chip packaging and testing projects have started intensively, with a total investment of 26.79 billion yuan.
72b9200718a358fef6d54e4f4908920b.png

Damei Jiao Lai shows that the ASB chip advanced packaging and testing project is the first construction project among the projects settled in the Hexin Valley Semiconductor Industrial Park on Jiao Lai Street, and will fill the gap in high-end integrated circuit packaging and testing in Qingdao. ASB International, one of the investors, has gathered leading talents from the world's top packaging and testing companies such as Amkor Technology, ASE, and Silicon Technology. It has more than 30 years of rich experience in R&D in the field of advanced packaging and testing, and has fully mastered cutting-edge advanced packaging and testing. technology and have the ability to implement mass production.The project covers a total area of 600 acres, with a planned construction area of 620,000 square meters, and will build an advanced packaging and testing base and R&D center. The project plans to build an annual production capacity of 1.8 million pieces of 12- inch wafer packaging and testing base , with a total investment of 10.3 billion yuan. It will be constructed in two phases: the first phase investment is 5.2 billion yuan, with a designed annual production capacity of 900,000 pieces of 12-inch wafer packaging and testing. , the annual output value after reaching production is about 20 billion yuan; the second phase investment is 5.1 billion yuan, and construction is planned to start in the second half of 2025. The designed annual production capacity is 900,000 pieces of 12-inch wafer packaging and testing, and the annual output value after reaching production is about 20.2 billion yuan .The project focuses on the research and development of high-end technologies and processes such as bump technology, wafer-level packaging, fan-out packaging, and 3D packaging, and provides complete packaging and testing foundry services for automotive electronics, 5G communications, Internet, wearable electronic equipment, etc. Collaborating with major chip manufacturing companies such as SMIC , we have jointly established a comprehensive integrated circuit industry supply chain in China from chip manufacturing to advanced packaging and testing.​

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Wanye Enterprise: The company is continuously deepening its strategic transformation and accelerating its entry into the semiconductor field​


Jiaxin Semiconductor's new R&D and manufacturing base with a total area of 109 acres and 140,000 square meters was officially completed and put into operation on November 8 this year. Jiaxin Semiconductor is an integrated circuit front-end equipment platform jointly founded by Wanye Enterprise and an international technical team. company. At present, Jiaxin Semiconductor's business covers various types of main process equipment such as etching, thin film deposition, rapid heat treatment, etc., as well as supporting process equipment such as exhaust gas treatment. It provides complete sets of front-end equipment for integrated circuit wafer manufacturing plants such as automotive chips, power chips, and logic chips. solution. Since its establishment, Jiaxin Semiconductor has successfully acquired rapid thermal processing (RTP) equipment, silicon nitride, sidewall, and metal plasma etching machines, high-density plasma thin film deposition equipment (HDP-CVD), and boron-phosphorus-doped silicon dioxide thin film chemistry. Deposition equipment (SACVD), silicon dioxide plasma thin film deposition equipment (PECVD), titanium/titanium nitride deposition equipment (MOCVD), aluminum and copper metal sputtering equipment (MetalSputter (Al/Ti/TiN)), double combustion + double water washing Exhaust gas treatment equipment and other types of equipment orders, the cumulative order amount since its establishment has exceeded 470 million yuan. Thank you for your attention.

Please, Log in or Register to view URLs content!
 
Last edited:

tokenanalyst

Brigadier
Registered Member
Looks like semiconductor grade gas supplier Fujian Deer Technology is getting into the photoresist business, has applied for patents for photoresist synthesis reactor technology.

Fujian Del Technology Co., Ltd. was established in June 2014 with a registered capital of 1.039 billion yuan. The company is committed to the layout of the entire fluorine chemical industry chain. It is mainly engaged in the research, development, production and sales of multiple series of fluorine-containing new materials such as fluorine chemical basic materials, new energy lithium battery materials, special gases and semiconductor wet electronic chemicals. It is a company with core independent knowledge A national high-tech enterprise with property rights. Products are widely used in semiconductor chips, LED chips, flat panel displays, communication optical fibers, power and energy storage batteries, UHV power transmission and transformation, photovoltaic power generation, etc.​


1701463121096.png


Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
Last year in 2022 another lithography company was established in China, looks like by experts in the lithography field in China, apart from SMEE and different from other Chinese lithography companies like GermanLitho and CFMEE, this guys are going with what looks like projection lithography, they have applied for multiple patents in the field since and they got some capital.

----

Guangke Xintu (Beijing) Technology Co., Ltd. was established on May 18 , 2022. It is registered in the Beijing Economic and Technological Development Zone and has a registered capital of 355 million yuan. Guangke Xintu was established by well-known experts in the field of integrated circuits in conjunction with domestic semiconductor equipment companies and investment institutions. Its main shareholders include Yizhuang State Investment, Hongshan Technology, Xingcheng Capital, etc.

Guangke Xintu has an international professional semiconductor team, and the R&D team is mainly composed of PhD and master's degree graduates from prestigious domestic and foreign universities. Facing the manufacturing needs of the semiconductor industry, the company adopts independently developed innovative technologies to develop, produce and sell new exposure equipment.​

1701466864396.png
 

sunnymaxi

Captain
Registered Member
Last year in 2022 another lithography company was established in China, looks like by experts in the lithography field in China, apart from SMEE and different from other Chinese lithography companies like GermanLitho and CFMEE, this guys are going with what looks like projection lithography, they have applied for multiple patents in the field since and they got some capital.

----

Guangke Xintu (Beijing) Technology Co., Ltd. was established on May 18 , 2022. It is registered in the Beijing Economic and Technological Development Zone and has a registered capital of 355 million yuan. Guangke Xintu was established by well-known experts in the field of integrated circuits in conjunction with domestic semiconductor equipment companies and investment institutions. Its main shareholders include Yizhuang State Investment, Hongshan Technology, Xingcheng Capital, etc.

Guangke Xintu has an international professional semiconductor team, and the R&D team is mainly composed of PhD and master's degree graduates from prestigious domestic and foreign universities. Facing the manufacturing needs of the semiconductor industry, the company adopts independently developed innovative technologies to develop, produce and sell new exposure equipment.​

View attachment 122153
this is going to be EVs like scenario.. so many domestic players in semiconductor supply chain. there are many domestic repairing firms entered in this field too .. crazy

China is building its human capital base.
 

tokenanalyst

Brigadier
Registered Member
this is going to be EVs like scenario.. so many domestic players in semiconductor supply chain. there are many domestic repairing firms entered in this field too .. crazy

China is building its human capital base.
Hopefully the small players will force the big players to up their game and differentiate their products by going up in the value chain. That could avoid that the big players get stagnant even if the US increase their controls to more mature nodes.
 

tonyget

Senior Member
Registered Member
Please, Log in or Register to view URLs content!

does look to me that CXMT need to get to LPDDR5x to be competitive. Huawe would want them their for its flagship phones.

I think getting to 16GB & LPDDR5x would put CXMT almost competitive with "the big boys" in terms of phone DRAM products.

D1z + LPDDR5X in production by 2025 would be a good progress. Aside from the fastest phones, it's a competitive product. 8500MB/ps vs 9600. Difference isn't that large

Samsung will mass produce LPDDR5T next year,which is faster than LPDDR5X.

If CXMT wants to keep up the pace with industry leader and not be left further behind,they have to get to LPDDR5X
 
Status
Not open for further replies.
Top