Chinese semiconductor industry

Status
Not open for further replies.

Hendrik_2000

Lieutenant General
Has this article been posted before? the law of physics is the same everywhere. It boggles the mind when some people think they can stop China from progressing in the field of semiconductors especially when China has such a large STEM graduate. They were behind because of late entry into the field and the penchant not to spend money on capital investment. But if the have to, they have no choice

Please, Log in or Register to view URLs content!

China doubles localization rate for chipmaking equipment, reportedly over 40%
Jessica Tsai Taipei; Jingyue Hsiao, DIGITIMES Asia
Friday 22 September 2023


Amid the US containment measures to slow down China's development in semiconductors, China has reportedly increased its semiconductor equipment localization rate to over 40%.

Korean media Ddaily quoted industry sources indicating that China's self-sufficiency in semiconductor equipment has exceeded 40%, doubling within two years, with the localization rate of equipment for PVD and oxidation over 50%. According to SEMI, China's localization ratio of semiconductor equipment was 21%, which increased to 35% in 2022.

According to data compiled by DIGITIMES Asia, in China's semiconductor manufacturing supply chain, including equipment, foundry, packaging and testing, and IDM, semiconductor equipment manufacturers have the highest R&D ratio among manufacturers. During the past two and half years, the median R&D ratio of China-based semiconductor equipment manufacturers maintained above 10%, slightly higher than the major pure-play foundry SMIC and much higher than OSAT players.


The R&D ratio of Advanced Micro-Fabrication Equipment Inc China (AMEC) is over 13% on average for the past two and half years. Naura Technology has spent 11% of its revenue on R&D expenditures during the period. On the other hand, due to the nature of the IC design industry, China-based design houses enjoyed higher R&D ratios than foundry and chip equipment manufacturers. Still, the R&D ratios of China-based semiconductors are impressive.

According to "Semiconductor Localization: China Makes Advances" released by State Street Global Advisors, China's self-reliance in semiconductors can be attributed to a large domestic market, government support, strong R&D capabilities, and support from the capital market.

Korean media Hankyoreh quoted a report, "Status of Promotion of China's Semiconductor Localization," released by the Korea Institute for International Economic Policy, saying China's localization rate of semiconductor equipment is rising rapidly, with Naura and AMEC acquiring technology through government investment support and have increased their localization rates in process areas such as etching, thin films, and deposition. However, according to Ddaily, China still relies on foreign technology regarding the lithography process, which accounts for approximately 60% of the time and around 35% of the cost of semiconductor fabrication, with the localization rate of lithography equipment still in the single digits.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Has this article been posted before? the law of physics is the same everywhere. It boggles the mind when some people think they can stop China from progressing in the field of semiconductors especially when China has such a large STEM graduate. They were behind because of late entry into the field and the penchant not to spend money on capital investment. But if the have to, they have no choice

Please, Log in or Register to view URLs content!

China doubles localization rate for chipmaking equipment, reportedly over 40%
Jessica Tsai Taipei; Jingyue Hsiao, DIGITIMES Asia
Friday 22 September 2023


Amid the US containment measures to slow down China's development in semiconductors, China has reportedly increased its semiconductor equipment localization rate to over 40%.

Korean media Ddaily quoted industry sources indicating that China's self-sufficiency in semiconductor equipment has exceeded 40%, doubling within two years, with the localization rate of equipment for PVD and oxidation over 50%. According to SEMI, China's localization ratio of semiconductor equipment was 21%, which increased to 35% in 2022.

According to data compiled by DIGITIMES Asia, in China's semiconductor manufacturing supply chain, including equipment, foundry, packaging and testing, and IDM, semiconductor equipment manufacturers have the highest R&D ratio among manufacturers. During the past two and half years, the median R&D ratio of China-based semiconductor equipment manufacturers maintained above 10%, slightly higher than the major pure-play foundry SMIC and much higher than OSAT players.


The R&D ratio of Advanced Micro-Fabrication Equipment Inc China (AMEC) is over 13% on average for the past two and half years. Naura Technology has spent 11% of its revenue on R&D expenditures during the period. On the other hand, due to the nature of the IC design industry, China-based design houses enjoyed higher R&D ratios than foundry and chip equipment manufacturers. Still, the R&D ratios of China-based semiconductors are impressive.

According to "Semiconductor Localization: China Makes Advances" released by State Street Global Advisors, China's self-reliance in semiconductors can be attributed to a large domestic market, government support, strong R&D capabilities, and support from the capital market.

Korean media Hankyoreh quoted a report, "Status of Promotion of China's Semiconductor Localization," released by the Korea Institute for International Economic Policy, saying China's localization rate of semiconductor equipment is rising rapidly, with Naura and AMEC acquiring technology through government investment support and have increased their localization rates in process areas such as etching, thin films, and deposition. However, according to Ddaily, China still relies on foreign technology regarding the lithography process, which accounts for approximately 60% of the time and around 35% of the cost of semiconductor fabrication, with the localization rate of lithography equipment still in the single digits.
yes, this has been posted already. Maybe not the same link, but the story is the same.

I would appreciate it if you can browse back a few pages and check it yourself instead of asking this question
 

Hendrik_2000

Lieutenant General
I did browse the thread but can't find it with so many posts sorry. Anyway, 7nm is not the end of the SMIC journey. It is the start Now they are gunning for 5nm. That should satisfy the Chinese industry demand for advanced chips for a couple more years, while the work on EUV continues. By 2025 they should have a prototype EUV. I have no doubt they are working on it day and night. With money aplenty good semi-engineers can be had from Taiwan, Korea, and Japan. Liang brought with him many, Korean engineers from his work at Samsung.With increasing living standards China is not attractive enough to live. From Triple E
Please, Log in or Register to view URLs content!

SMIC Well on Its Way to 5-nm Breakthrough, Observers Say​

Sep. 20th, 2023​

Send to Kindle
—Part of an ongoing EE Times series: A Vulnerable U.S. Electronics Supply Chain. Previous parts can be found
Please, Log in or Register to view URLs content!
.
Semiconductor Manufacturing International Corp. (SMIC) is likely to, in the next few years, again defy the U.S. government by manufacturing chips with feature sizes as small as 5 nm, industry insiders told EE Times.
The production of 7-nm silicon by China’s largest chipmaker just days ago has crossed a red line set by the U.S. government to keep its rival nation stalled at the 14-nm node. SMIC’s widely reported breakthrough erodes the U.S. strategy to use export controls and blacklists to halt China’s technological progress, according to Dick Thurston, former chief legal counsel for Taiwan Semiconductor Manufacturing Co. (TSMC).

“I never had any doubt that they would be doing 7 [nm], and I still don’t have any doubt that they’ll do 5 nm without the EUV tools,” he told EE Times.
The U.S. has banned Shanghai-based SMIC from using EUV lithography tools made only by ASML of the Netherlands. TSMC’s first 7-nm process was done without using EUV tools, according to a former TSMC engineer who spoke with EE Times on the condition of anonymity. It’s not a surprise that SMIC could use double patterning with older, DUV equipment to reach the 7-nm node, he said.

In 2009, Thurston led TSMC to a legal victory over SMIC for industrial espionage and corporate raiding. Last week, he said he didn’t think TSMC would file suit against SMIC for the company’s recent breakthroughs.
Still, Thurston said, he is sure TSMC is “monitoring” the situation.
TSMC, the world’s largest foundry, is making 3-nm chips that are about five years ahead of SMIC’s latest process technology. The only chipmaker in the U.S. capable of making 7-nm chips is Intel.

Thurston credits ex-TSMC colleague
Please, Log in or Register to view URLs content!
, who is now SMIC’s co-CEO, for the Chinese foundry’s advances in process technology.
“There is not any smarter scientist or engineer than that guy,” he said. “He is really one of the more brilliant minds I’ve seen in semiconductors.”
Liang left TSMC because he wanted to push forward Moore’s Law rather than broaden the company’s tech portfolio to serve more customers in automotive and medical electronics, Thurston said.


The U.S. may need to emphasize competition with, rather than control of, China.
Thurston said he is working with U.S. Rep. Pat Ryan (D-N.Y.) to help revive semiconductor manufacturing in the state’s Hudson Valley, where IBM exited the chipmaking industry a few years ago.
 

tokenanalyst

Brigadier
Registered Member
This article is very reasonable and objective, the author has a PhD in material chemistry and 10 years of wafer fabrication experience. He says that SMIC is capable of going down to 5nm with DUV multi patterning. Contrast this to the legions of humanities, political-science, MBAs who pretend to be "semiconductor experts".... this guy has real experience and is a true expert.

Please, Log in or Register to view URLs content!

Alternative link:
Please, Log in or Register to view URLs content!


Key Points of Article:
  • Chinese Self-Sufficiency: Sanctions imposed by the U.S. aimed to inhibit China's technological progress but have instead catalyzed China's drive to be self-sufficient in semiconductor production, aligning with "Made in China 2025" initiative.
  • SMIC’s Progress: Despite sanctions and not having access to ASML’s EUV lithography equipment, SMIC (Semiconductor Manufacturing International) has reached the 7nm node and is on track to reach 5nm by 2025, showing significant advancements in technology.
  • Chinese Companies Benefiting: The sanctions have benefitted domestic Chinese equipment companies; HoH growth for these companies is 4x greater than non-Chinese companies, allowing them to grow and potentially serve not only Chinese semiconductor companies but also international ones.
  • Increase in Chip-Related Businesses: China has added a significant number of new chip-related businesses, 47,400 in 2021, depicting a rapid growth in the domestic semiconductor industry.
  • Equipment Sales Drop for Non-Chinese Companies: Companies like ASML and KLA have experienced a drop in equipment sales to China due to sanctions, affecting their revenue from the Chinese market.
  • Chinese Companies' Global Share: Chinese-owned organizations are expected to accelerate capacity investments and represent about 60% of China's fab capacity by 2024, increasing China’s global share in the semiconductor industry.
  • Effect on Global Competition: The advancement of Chinese domestic equipment companies could affect global competition, as these companies are not only selling to Chinese semiconductor companies but also to non-Chinese companies.
  • Sanctions Proven Ineffective: The U.S. sanctions have proven to be ineffective in curbing China’s technological advancements in the semiconductor sector, rather they have been a catalyst for growth and advancements in Chinese semiconductor and equipment companies
I highly recommend reading the full article.
As we have been saying here, before the sanctions the Chinese semiconductor industry was big but going nowhere because everything was disconnected, OEMs didn't buy local chips, chipmakers prefered to use non local fabs and EDA, domestic fabs didn't even bother to use local equipment and materials. I remember a post in a Chinese forum that the most boring job was working for SMEs manufacturers because people had to expend their hours shifts doing nothing but expecting some orders to come.

US sanctions has created enough fear and uncertainty that basically has put Chinese companies into a corner with no other options than collaborate with domestic companies that in the past wouldn't have even give them the time of the day, with the other option being dying.

While this has been extremely painful for some companies it has open a HUGE opportunity for other companies and talented ambitious individuals. There are equipment, materials and software companies in the Chinese semiconductor industry that with only 4 or even 2 years of existence are having their equipment being verify and some are even taking orders.​
 

weig2000

Captain
This article is very reasonable and objective, the author has a PhD in material chemistry and 10 years of wafer fabrication experience. He says that SMIC is capable of going down to 5nm with DUV multi patterning. Contrast this to the legions of humanities, political-science, MBAs who pretend to be "semiconductor experts".... this guy has real experience and is a true expert.

Please, Log in or Register to view URLs content!

Alternative link:
Please, Log in or Register to view URLs content!


Key Points of Article:
  • Chinese Self-Sufficiency: Sanctions imposed by the U.S. aimed to inhibit China's technological progress but have instead catalyzed China's drive to be self-sufficient in semiconductor production, aligning with "Made in China 2025" initiative.
  • SMIC’s Progress: Despite sanctions and not having access to ASML’s EUV lithography equipment, SMIC (Semiconductor Manufacturing International) has reached the 7nm node and is on track to reach 5nm by 2025, showing significant advancements in technology.
  • Chinese Companies Benefiting: The sanctions have benefitted domestic Chinese equipment companies; HoH growth for these companies is 4x greater than non-Chinese companies, allowing them to grow and potentially serve not only Chinese semiconductor companies but also international ones.
  • Increase in Chip-Related Businesses: China has added a significant number of new chip-related businesses, 47,400 in 2021, depicting a rapid growth in the domestic semiconductor industry.
  • Equipment Sales Drop for Non-Chinese Companies: Companies like ASML and KLA have experienced a drop in equipment sales to China due to sanctions, affecting their revenue from the Chinese market.
  • Chinese Companies' Global Share: Chinese-owned organizations are expected to accelerate capacity investments and represent about 60% of China's fab capacity by 2024, increasing China’s global share in the semiconductor industry.
  • Effect on Global Competition: The advancement of Chinese domestic equipment companies could affect global competition, as these companies are not only selling to Chinese semiconductor companies but also to non-Chinese companies.
  • Sanctions Proven Ineffective: The U.S. sanctions have proven to be ineffective in curbing China’s technological advancements in the semiconductor sector, rather they have been a catalyst for growth and advancements in Chinese semiconductor and equipment companies
I highly recommend reading the full article.

The article was written one year ago.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
I did browse the thread but can't find it with so many posts sorry. Anyway, 7nm is not the end of the SMIC journey. It is the start Now they are gunning for 5nm. That should satisfy the Chinese industry demand for advanced chips for a couple more years, while the work on EUV continues. By 2025 they should have a prototype EUV. I have no doubt they are working on it day and night. With money aplenty good semi-engineers can be had from Taiwan, Korea, and Japan. Liang brought with him many, Korean engineers from his work at Samsung.With increasing living standards China is not attractive enough to live. From Triple E
Please, Log in or Register to view URLs content!

SMIC Well on Its Way to 5-nm Breakthrough, Observers Say​

Sep. 20th, 2023​

Send to Kindle
—Part of an ongoing EE Times series: A Vulnerable U.S. Electronics Supply Chain. Previous parts can be found
Please, Log in or Register to view URLs content!
.
Semiconductor Manufacturing International Corp. (SMIC) is likely to, in the next few years, again defy the U.S. government by manufacturing chips with feature sizes as small as 5 nm, industry insiders told EE Times.
The production of 7-nm silicon by China’s largest chipmaker just days ago has crossed a red line set by the U.S. government to keep its rival nation stalled at the 14-nm node. SMIC’s widely reported breakthrough erodes the U.S. strategy to use export controls and blacklists to halt China’s technological progress, according to Dick Thurston, former chief legal counsel for Taiwan Semiconductor Manufacturing Co. (TSMC).

“I never had any doubt that they would be doing 7 [nm], and I still don’t have any doubt that they’ll do 5 nm without the EUV tools,” he told EE Times.
The U.S. has banned Shanghai-based SMIC from using EUV lithography tools made only by ASML of the Netherlands. TSMC’s first 7-nm process was done without using EUV tools, according to a former TSMC engineer who spoke with EE Times on the condition of anonymity. It’s not a surprise that SMIC could use double patterning with older, DUV equipment to reach the 7-nm node, he said.

In 2009, Thurston led TSMC to a legal victory over SMIC for industrial espionage and corporate raiding. Last week, he said he didn’t think TSMC would file suit against SMIC for the company’s recent breakthroughs.
Still, Thurston said, he is sure TSMC is “monitoring” the situation.
TSMC, the world’s largest foundry, is making 3-nm chips that are about five years ahead of SMIC’s latest process technology. The only chipmaker in the U.S. capable of making 7-nm chips is Intel.

Thurston credits ex-TSMC colleague
Please, Log in or Register to view URLs content!
, who is now SMIC’s co-CEO, for the Chinese foundry’s advances in process technology.
“There is not any smarter scientist or engineer than that guy,” he said. “He is really one of the more brilliant minds I’ve seen in semiconductors.”
Liang left TSMC because he wanted to push forward Moore’s Law rather than broaden the company’s tech portfolio to serve more customers in automotive and medical electronics, Thurston said.


The U.S. may need to emphasize competition with, rather than control of, China.
Thurston said he is working with U.S. Rep. Pat Ryan (D-N.Y.) to help revive semiconductor manufacturing in the state’s Hudson Valley, where IBM exited the chipmaking industry a few years ago.
This was also posted before. Its fine to repost stuff, but I encourage everyone to look back a few pages first. It's good for just knowledge based on general to read through what others are posting
 

TK3600

Major
Registered Member
Has this article been posted before? the law of physics is the same everywhere. It boggles the mind when some people think they can stop China from progressing in the field of semiconductors especially when China has such a large STEM graduate. They were behind because of late entry into the field and the penchant not to spend money on capital investment. But if the have to, they have no choice

Please, Log in or Register to view URLs content!

China doubles localization rate for chipmaking equipment, reportedly over 40%
Jessica Tsai Taipei; Jingyue Hsiao, DIGITIMES Asia
Friday 22 September 2023


Amid the US containment measures to slow down China's development in semiconductors, China has reportedly increased its semiconductor equipment localization rate to over 40%.

Korean media Ddaily quoted industry sources indicating that China's self-sufficiency in semiconductor equipment has exceeded 40%, doubling within two years, with the localization rate of equipment for PVD and oxidation over 50%. According to SEMI, China's localization ratio of semiconductor equipment was 21%, which increased to 35% in 2022.

According to data compiled by DIGITIMES Asia, in China's semiconductor manufacturing supply chain, including equipment, foundry, packaging and testing, and IDM, semiconductor equipment manufacturers have the highest R&D ratio among manufacturers. During the past two and half years, the median R&D ratio of China-based semiconductor equipment manufacturers maintained above 10%, slightly higher than the major pure-play foundry SMIC and much higher than OSAT players.


The R&D ratio of Advanced Micro-Fabrication Equipment Inc China (AMEC) is over 13% on average for the past two and half years. Naura Technology has spent 11% of its revenue on R&D expenditures during the period. On the other hand, due to the nature of the IC design industry, China-based design houses enjoyed higher R&D ratios than foundry and chip equipment manufacturers. Still, the R&D ratios of China-based semiconductors are impressive.

According to "Semiconductor Localization: China Makes Advances" released by State Street Global Advisors, China's self-reliance in semiconductors can be attributed to a large domestic market, government support, strong R&D capabilities, and support from the capital market.

Korean media Hankyoreh quoted a report, "Status of Promotion of China's Semiconductor Localization," released by the Korea Institute for International Economic Policy, saying China's localization rate of semiconductor equipment is rising rapidly, with Naura and AMEC acquiring technology through government investment support and have increased their localization rates in process areas such as etching, thin films, and deposition. However, according to Ddaily, China still relies on foreign technology regarding the lithography process, which accounts for approximately 60% of the time and around 35% of the cost of semiconductor fabrication, with the localization rate of lithography equipment still in the single digits.

Wait I thought you were banned??
 

paiemon

Junior Member
Registered Member
Please, Log in or Register to view URLs content!

This is really great. detailing Apple's failures to design their own modem. Maybe the Qualcomm IPs are just too vast to get past. a lot of people say that Oppo gave up on its SoC plans due to outside threats, but Huawei Whisper talked about how difficult it was to get modem developed and Oppo wasn't able to do so.

Now, I see Xiaomi signing some kind of cooperation with Huawei. Maybe Huawei can supply its 5G modem to Xiaomi SoC. We will see.

As for GPU, i'm not sure HW needs to enter gaming GPU card market, but it goes beyond just gaming. Product would also be used in cloud GPU, metaverse and other things. Basically, Moore Threads has that market to itself right now
I suspect that it is also IP based given that Huawei has its own modem, and Huawei has numerous patents on signal processing, encoding, etc that form the fundamental basis of telecommunications transmissions and receiving, of which the modem is a key piece. However, the modem is not standalone and needs to operate in conjunction with other signal processing elements at the respective frequencies and this is where I suspect Apple has run into a wall because Qualcomm and Huawei as telecom leaders hold key patents underlying those telecom principles in say 5G that govern how the modem would interact and interface with the signals. They have no interest in licensing or allowing Apple to encroach on their space, and its possible in say 5G telecommunications there are only so many ways to efficiently transmit and receive signals which Qualcomm and Huawei have locked down, hence why they are the modem leaders as well. It seems to me that its not so much a lack of technical expertise holding Apple back, its more that Huawei and Qualcomm took all the good options and its not worthwhile for them to take alternatives that result in poorer performance.
 
Status
Not open for further replies.
Top