Chinese semiconductor industry

Status
Not open for further replies.

Overbom

Brigadier
Registered Member
I call upon our experts here: is this really as important as it is being described or is this your usual SCMP hype?

Please, Log in or Register to view URLs content!

Revolutionising the semiconductor industry: Chinese scientists unveil 12-inch wafer with groundbreaking 2D materials​

  • Researchers have managed to produce new 12-inch wafers that are just one atom thick and have low production costs
  • Work still needs to be done to transform them into a usable chip but scientists expect they will eventually complement silicon chips
A breakthrough in the production of a new two-dimensional (2D) material, which is the thickness of about one atom, is set to pave the way for the transition to next-generation semiconductors.
Chinese scientists have managed to use the new semiconductor material to produce 12-inch wafers. The milestone could see them complement traditional silicon chips.
As the new 2D material is so thin, it gives the wafers excellent semiconducting properties. But the team of scientists had to solve issues around the scaling up of the wafers’ size and being able to produce them at a high capacity.
In an exclusive interview with the Post, Professor Liu Kaihui of Peking University, who was the lead scientist on the project, said his team’s work had given assurance to the industry of the practical applications of the 2D material.
“A transistor built from a single layer of MoS2, [a typical 2D material] with a thickness of about one nanometre, outperforms the one made with the same thickness of silicon many times,” Liu said.
At each layer, 2D materials can exist separately, allowing them to be stacked layer by layer, like graphene or transition metal dichalcogenides (TMDs), including molybdenum disulfide, tungsten disulfide, molybdenum diselenide and tungsten diselenide.
“We developed a new approach, utilising a surface-to-surface supply method that ensures uniform growth,” PhD candidate Xue Guodong, first author of the paper, said. “While fabricating the MoS2 wafer, a chalcogenide crystal plate (ZnS) cooperating with solution-dispersed molten salts (Na2MoO4) is used as an element source,” he said.

Liu added that the new method means there are no longer limitations in the size of the wafers.
“The strategy ensures feedstocks are provided evenly and sufficiently in the furnace and solves the previous limitation in wafer size. We can also make larger ones, but 12-inch is the most commonly used size,” Liu said.
Once the size problem was solved, the team had to create a strategy for batch production. The proposed growth system is modularised and then packed into a pillar, like building a skyscraper. Because the gaps between the floors are filled with demand sources, the wafer grows very uniformly.
This stacking method means multiple layers of 2D materials can be grown simultaneously, leading to unparalleled efficiency and low production costs.
“Our engineering team at Songshan Lake Materials Laboratory designed equipment based on this method. Now our equipment can now produce 10,000 pieces of 2D wafers per machine per year,” Liu said.
While the wafers have been successfully fabricated, transforming them into usable chips still requires intricate design and engraving like photolithography and deposition. Yet, Liu is confident about the future.
 

tokenanalyst

Brigadier
Registered Member

Kaisheng Technology's revenue and net profit doubled. Semiconductor polishing fluid has formed a small batch of sales​


Triumph Technology disclosed its semi-annual performance report. In the first half of 2023, the company achieved revenue of 2.706 billion yuan, a year-on-year decrease of 2.06%; net profit attributable to the parent was 74.0622 million yuan, a year-on-year decrease of 48.56%; non-net profit deduction was 18.0042 million yuan, a year-on-year decrease of 58.75%.
Triumph Technology said that in the first half of the year, under the combined influence of multiple factors such as the global economic downturn and weak consumer market demand, the profitability of the company's display materials and applied materials were weakened to varying degrees. Among them: the first half of the year showed that the consumer market demand did not increase significantly, the industry was in the traditional off-season, and performance continued to be under pressure; the market price of fused zirconium series products in the applied materials sector fell from a high level, and competition intensified.
In the first half of the year, Triumph Technology completed the acquisition of 100% equity of Taihu Quartz and 70% equity of Triumph Substrate. Among them, the high-purity quartz sand project with an annual output of 5,000 tons of Taihu Quartz is currently in a period of strategic development opportunities, with broad market prospects. It can form a close industrial linkage with the high-purity quartz sand prepared by the company's synthetic method, further expand market share, and improve the company's product competition. Inject new vitality into the company's applied materials industry, and promote the realization of a variety of new material products to expand to more market application fields.
The display materials segment continues to tackle the core technology of "stuck neck". Continue to develop a new generation of flexible UTG, UTG one-time forming glass
Original film; joint development of cloud computer displays in the field of notebooks; focus on promoting the research and development of car screens and direct display screens, the design capability of large-size triple screens has been improved, and the research and development of 12.3-inch car Mini-LED backlights, car touch display module production line projects have been completed It has mass production capacity and has entered the supporting supply chain of some domestic mainstream car companies.
The Applied Materials segment accelerated the cultivation of new economic growth points through innovation. Actively develop application fields such as vanadium-zirconium blue ceramic pigments, foam ceramic filters for zirconia, and automobile brake pads to meet the diverse needs of customers, cooperate with well-known brands in the industry and supply them in batches; optimize and upgrade the fluorination and grinding preparation process, Developed the 8.5th generation of thinning polishing powder for large-size TFT glass and successfully introduced it into the market.
Kaisheng Technology said that UTG has completed the introduction of some panel factories, and domestic brand terminals are continuing to communicate and promote, laying a solid foundation for UTG product research and development to mass production and processing, market sales, and benefit development; Longhai Glass is consolidating the original market foundation In terms of sales, by subdividing customers and optimizing services, the sales volume increased against the trend, and the production-to-sales ratio reached 103.19%. Guoxian Technology accelerated the promotion of the AG glass project, strengthened the business expansion of the vehicle project, and realized the penetration of the LED display business into the transportation industry.

Please, Log in or Register to view URLs content!
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Please, Log in or Register to view URLs content!
Meta-X's 曦云®C500 has now been validated on Xorbits platform. Designed to handle 100B parameter LLMs, 曦云®C500 in Xorbit's distributed inference framework successfully ran 70B LLaa2 model, becoming the first domestic GPU to do this by itself

With 曦云®C500, Xorbits can not only efficiently handle 22 complex query, but also provide concise & readable code for Xorbits to easily understand

Again, 曦云®C500 is 8-card GPU connected in a single machine
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Please, Log in or Register to view URLs content!
Biren Tech has now joined 360 Group's GPT industry alliance. Another major application for Biren GPUs

Please, Log in or Register to view URLs content!
This alliance was formed 2 months ago with Zhipu AI, Huawei cloud, sensetime, Dark house tech, Mobvoi, Unisound, Langboat and others with the goal of surpassing OpenAI
 

tokenanalyst

Brigadier
Registered Member

Gorn Semiconductor mass-produces gallium nitride laser chips, the first in China!​


Gorn Semiconductor has concentrated its superior resources and has overcome a series of technical difficulties by virtue of its rich R&D and production experience in the field of compound semiconductors, especially gallium nitride materials, and has become the first domestic company that can mass-produce gallium nitride laser chips of enterprises. At present, Gorn Semiconductor has a full range of engineering technology capabilities and mass production capabilities covering GaN laser structure design, epitaxial growth, chip manufacturing, packaging and testing, and has more than 500 internationally leading semiconductor R&D and mass production equipment, as well as industry Advanced product research and development platform and automated production line.

1693232292451.png

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
Senguoke unveiled the "2023 Power and Optoelectronic Semiconductor Device Design and Integrated Application Forum", focusing on the introduction of a new generation of 1200V SiC MOSFET and new modules.
It is understood that these new SiC MOSFET products are superior to many international manufacturers in terms of Rds(on) and other parameters, and adopt 15V gate drive , which greatly improves the ease of use. At present, these products have passed a number of downstream Manufacturer's testing, and batch shipments .

1693233285530.png
Sen Guoke focused on introducing a new generation of 1200V SiC MOSFET series products .

According to reports, their new generation of products is developed based on the 6-inch wafer platform, mainly including 4 new products (K3M040120-R, K3M040120-R4, K3M080120-R and K3M080120-R4), the on-resistance can be 80mΩ and 40mΩ There are TO-247-3L and TO-247-4L packages available, and the working junction temperature is as high as 175°C.
Sen Guoke invested in the research and development of SiC MOSFETs in 2021, and adopted the latest third-generation planar gate SiC MOSFET chip technology. The performance is even better, perfectly meeting the needs of medium and high voltage systems such as high-power charging modules, photovoltaic inverters and high-power energy storage.

Sen Guoke said that their 1200V SiC MOSFET has better BV & Rdson trade off, and has done a lot of work in reducing Rds(on) .

Relatively speaking, the planar gate SiC MOSFET process is relatively simple and has higher reliability, but Rds(on) is greatly affected by channel resistance and JFET resistance. In the on-state loss of SiC MOSFET, Rds(on) accounts for about 42.5% . Especially at high frequency operating frequency, Rds(on) is the key factor for the performance of SiC MOSFET, which directly determines the switching efficiency of the chip. And then affect the system efficiency.

In order to reduce the Rds(on) of SiC MOSFET and reduce the size of the cell, Senguoke mainly adopts three leading technologies, including: shortening the channel length and reducing the channel resistance through the channel self-alignment process; optimizing the chip process, Optimize the ohmic contact process to reduce Rds(on); reduce substrate thickness through ultra-thin wafer technology, reduce Rds(on), and improve surge capability.

Data comparison shows that the typical value of Rds(on) of Senguoke 1200V/80mΩ SiC MOSFET is 72mΩ , and the parameters such as IDSS and IGSS are also far lower than those of other international manufacturers, reaching the world-class level.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Status of Semiconductor Precursors and Photoresists companies.​


1. Huamao Technology: the leader in domestic automotive passive safety, opening up the layout of the entire industrial chain of photoresist upstream materials

The automotive passive safety business has mastered the core technology + high-quality customers have built a deep moat, and the strategic layout of the photoresist business has opened up new growth space. Since its establishment in 2002, the company has been deeply involved in the field of automotive passive safety. In 2021, it will strategically become a shareholder of Xuzhou Bokang, a leading photoresist company in China, and enter the photoresist market.

Cooperate with leading domestic photoresist companies to deploy photoresist materials, and realize the independent control of the entire photoresist industry chain. The company strategically invested in Xuzhou Bokang, and jointly established Dongyang Huaxin with Xuzhou Bokang to lay out the field of lithography materials. Xuzhou Bokang was established in 2010, focusing on the independent research and development and production of photoresist collagen materials to finished products, and realized the localization and independent production of photoresist monomers, photoresist-specific resins, photoacid agents and final product photoresists. Controlling the supply chain, it is the undertaking unit of the project "Development and Industrialization of ArF Photoresist Monomer Products" in the "Advanced Photoresist Product Development and Industrialization" project of the National 02 major project. As of the end of March 2023, Xuzhou Bokang and its subsidiaries have more than 60 invention patents, and its photoresist monomer has become a stable supplier of well-known photoresist finished product companies in Japan and South Korea. The photoresist products developed and produced mainly include: 26 types of ArF photoresists, covering key layer processes of 65nm, 55nm, 40nm, 28nm and below, and application fields such as LOGIC, 3D NAND, DRAM; 30 types of KrF photoresists, covering key layers of 55nm, 40nm, 28nm and below Technology and application fields such as integrated circuits, discrete devices, and sensors; 15 types of I line photoresists, covering key layer processes such as high-energy implantation and anti-etching, and application fields such as PAD and Lift-off.

Please, Log in or Register to view URLs content!

2. Red Avenue New Materials: The leading semiconductor & panel photoresist in China, with heavy volume of photoresist business

The world's largest supplier of special materials for tires (car tires) , semiconductors & panel photoresists have developed together. The company's business covers three major fields: electronic materials, special materials for automobiles/tires and fully biodegradable materials. The company is the world's largest supplier of special phenolic resins for tire rubber. Over the years, it has established long-term and stable business cooperation with domestic and foreign tire companies. Its customers cover the world's top 75 tire companies, including Bridgestone, Michelin, Goodyear, Continental, Internationally renowned tire companies such as Pirelli. The company's electronic materials business mainly covers semiconductor photoresist and supporting reagents, display panel photoresist and electronic phenolic resin and other products; in 2020.

The company's semiconductor photoresist products have covered most of the domestic process requirements above 14nm: the company's G-line photoresist products occupy a large market share in China, and the I-line photoresist products are close to the international advanced level; A manufacturer that supplies KrF photoresists. The market share of KrF products in Poly, AA, Metal, TM/TV, Thick, Implant, Contact Hole and other processes continues to rise, and DKN series KrF negative photoresists have achieved mass production Breakthrough, product performance reaches or exceeds similar foreign products. In 2022, the company's semiconductor photoresist business will achieve operating income of 177 million yuan, a year-on-year increase of 53.48%; G/I line photoresist products for semiconductors will increase by 45.45% year-on-year; KrF photoresist products will increase by 321.85% year-on-year . The I-line photoresist and KrF photoresist produced by the company are the main local suppliers of domestic 8-12 inch integrated circuit production lines. With the acceleration of domestic substitution of semiconductor photoresist, the company's photoresist business is expected to usher in rapid growth.

Please, Log in or Register to view URLs content!

3. Jingrui Electric Materials: an electronic material platform company with thirty years of experience in photoresist production

Layout the two major directions of pan-semiconductor materials and new energy materials, and break through multiple product lines to welcome the release of production capacity. 1) Pan-semiconductor materials: including high-purity chemicals, photoresist and supporting materials, pharmaceutical intermediates, etc. Among them, the three high-purity wet chemicals with the largest semiconductor consumption - high-purity hydrogen peroxide, ammonia and pure sulfuric acid, the company The product quality has reached the highest level of SEMI, G5, and has been purchased by well-known domestic semiconductor customers such as SMIC, Huahong Grace, Yangtze River Storage, Silan Micro, etc., successfully filling the domestic gap in key semiconductor materials.

Thirty years of photoresist mass production experience, one of the largest manufacturers of I-line photoresist in China. The company's photoresist products are produced by the subsidiary Suzhou Ruihong. Suzhou Ruihong has been producing photoresists on a large scale since 1993. In the field of display panels and display panels, some products have occupied the main domestic market share: the company’s high-end products such as ultraviolet negative photoresists, broadband positive resists and some G-lines have been supplied to the market on a large scale for decades; Core International, Hefei Changxin and other well-known large-size semiconductor manufacturers supply, supplying one of the local companies with the largest shipments of semiconductor photoresists in China; some varieties of KrF high-end photoresist have been mass-produced; at the same time, ArF high-end photolithography has been launched Glue research and development work. In recent years, the company has continued to increase investment in the research and development of cutting-edge photoresists, spent hundreds of millions of dollars to purchase 2 sets of ArF, KrF lithography machines and related supporting equipment, and took the lead in launching related technical research and industrialization projects; with the gradual improvement of the product series, the company The prospect of photoresist business is promising.

Please, Log in or Register to view URLs content!

4. Shanghai Xinyang: the layout of the four major process materials of electroplating, cleaning, photolithography and grinding, and the photoresist business is progressing smoothly

The electroplating and cleaning technologies are leading in China, and the new etching solution breaks the monopoly of foreign countries. Founded in 2004, the company has developed into two major categories of business over the years: 1) Semiconductor materials: covering the four major process chemical material products of electroplating, cleaning, photolithography, and grinding; the main products include electroplating solutions and additives, cleaning solutions, photoresists, Packaging materials and supporting equipment products. The company's two core technologies of electroplating and cleaning have reached the domestic leading level, and the electroplating solution and additive products have covered 90-14nm technology nodes; after dry etching, the cleaning solution has achieved full coverage of technology nodes above 14nm, and the 20-14nm electroplating solution and additives have been Achieved sales; the original innovative product silicon nitride etching solution for memory chips broke the foreign monopoly, and jointly developed and verified a higher-level product series with customers; the product development for copper polishing cleaning solution (PCMP) was completed and has entered To the client; the company's layout and development of grinding liquid (CMP) has also successfully entered the client to achieve sales of mature products.

Photoresists continue to break through, and ArF photoresists are progressing well. In 2022, the company's self-developed KrF photoresist products will continue to increase in certified customers, and have been supplied to domestic mainstream wafer manufacturers; the research and development of ArF and ArF-i photoresist products is progressing smoothly, and two series of test products have been formed , the samples have entered the client for testing; and the company purchased ASML1900 and 1400 photolithography machines for photoresist product testing.

Please, Log in or Register to view URLs content!


 

tokenanalyst

Brigadier
Registered Member
5. Nanda Optoelectronics: A leader in high-purity electronic materials, ArF industrialization continues to advance

A leading company in high-purity electronic materials, with multiple product lines to achieve high performance growth. The company's layout is advanced precursor material products, electronic special gas products and photoresist and supporting materials. The products are widely used in the production and manufacture of integrated circuits, flat panel displays, LEDs, third-generation semiconductors, photovoltaics and semiconductor lasers. The advanced precursor segment includes MO source products and ALD/CVD precursor materials; the electronic special gas segment mainly includes hydrogen-based electronic special gases phosphine, arsine, etc., and fluorine-containing electronic special gases nitrogen trifluoride, sulfur hexafluoride and By-products; photoresist and supporting materials The company is independently developing and realizing the industrialization of ArF photoresist (including dry and immersion).

The company will be promoted to achieve new breakthroughs in performance: revenue 1.58 billion yuan, +60.62% year-on-year; net profit attributable to the parent company was 187 million yuan, +37.07% year-on-year; non-net profit deducted was 126 million yuan, +78.39% year-on-year.
The industrialization of ArF photoresist continues to advance. In 2017 and 2018, the company was formally approved for the national 02 special project "Key Technology Research and Development Project of High-resolution Photoresist and Advanced Packaging Photoresist Products" and "Advanced Photoresist Development and Industrialization Project", and was approved in 2020 respectively. and pass acceptance in 2021. The product developed by the company has become the first domestic ArF photoresist that has passed customer verification in China, marking a key breakthrough in the industrialization of domestic advanced photoresist. At present, the company's products have passed the certification on the 50nm storage chip and 55nm logic chip technology nodes of downstream customers. At the same time, many products are being certified by many customers. The main advanced lithography equipment such as ASML immersion lithography machine has been installed and put into use, and a professional detection and evaluation platform for the development of ArF photoresist products has been built, and the research and development, verification and evaluation of photoresist and supporting material products Industrialization continues to advance.

Please, Log in or Register to view URLs content!

6. Yake Technology: global precursor & LNG plate head manufacturer, panel & semiconductor photoresist is gradually advancing

The company is the world's leading manufacturer of precursors and the exclusive domestic LNG plate factory. The company is mainly engaged in three major sectors: electronic materials, LNG thermal insulation panels, and flame retardants. Among them, the electronic materials business includes semiconductor precursor materials/SOD, photoresist and supporting reagents, electronic special gas, silicon micropowder and LDS delivery system, etc. The company is one of the top five precursor suppliers in the world. Its products in DRAM can meet the world's most advanced memory chip process 1b, NAND above 200X layer, and logic chip 3nm mass production supply. Customers include Micron, Kioxia, Intel, TSMC, SMIC, Huahong Grace, YMTC, Hefei Changxin and other top manufacturers of semiconductor chips at home and abroad.
The company has established cooperative relations with well-known panel suppliers such as Samsung Electronics, LG Display, BOE, China Star Optoelectronics, and Huike; and continues to develop high-end products. Formal mass production, high-end products such as RGB photoresist for CMOS sensors and I-Line photoresist for advanced packaging RDL layers are in the customer test introduction stage. In addition, the company's new layout in the field of semiconductor photoresist, the research and development of semiconductor process photoresist and SOC materials are progressing as planned, and some products have entered the testing and introduction stage.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top