Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member

Tuomai Technology signed a contract to build the largest production base of high-purity semiconductor materials in China

On July 14, the project of Tuozai Technology Headquarters and high-purity electronic information material R&D and production base settled in Gehua District, New City, Wuhan . This project will solve the problem of "stuck neck" of chip materials in China and change the situation of relying on imports of ultra-high-purity semiconductor materials. Help our province build a trillion-level industrial chain of "optical core screen terminal network" .

47777ea8-252d-11ee-839f-00163e1eb85a.jpeg


Project Description
The project is invested by Wuhan Tuomai Technology Co., Ltd. with an investment of 1 billion yuan. It covers an area of 100 mu. A comprehensive semiconductor material R&D and production base for target materials and renewable resource recovery.

"High-purity metal materials are key industrial raw materials with great industrial value. They are key areas supported by the state." Zhang Shuguang, Secretary of the Board of Directors of Wuhan Tuocai Technology Co., Ltd., introduced that one of the important missions of the project is to conquer metals along the semiconductor industry chain Material technology problems, speed up the transformation of scientific research results, and better meet the growing demand for national semiconductor materials

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

World's First Solid-State Laser Lift-Off System Retrofit Project Gained Customer Acceptance​


Xi’an, China – July 17, 2023 – The world’s first Solid State Laser Lift-Off System Retrofit Project, which was completed by Focuslight earlier, has gained customer acceptance from a well-known panel factory.
Laser Lift-Off (LLO) is one of the key processes in the production of flexible OLED panels, where ultraviolet laser energy is used to separate the flexible OLED display from the glass substrate. Based on Focuslight’s rich experience in solid-state laser lift-off of flexible OLED, the process is doable on both yellow PIs and transparent PIs with excellent results. Over the years, 24 sets of Focuslight’s solid-state laser lift-off systems have been delivered to internationally renowned semiconductor and flat panel display equipment manufacturers, for instance, in China and South Korea. For a long time, these systems have been applied in the production lines of many world-leading semiconductor display customers in China, South Korea, Japan, and other countries and regions.

Since 2022, Focuslight has been developing a new business model: apart from gaining orders for new production lines, the company is also actively expanding its market by retrofitting and upgrading existing production lines. Experiencing intense technical competition within the industry, Focuslight won the bidding for a solid-state laser lift-off system retrofit project of a well-known domestic panel factory in 2022, which was the world’s first solid-state laser lift-off system retrofit project. After upgrading the customer’s original laser lift-off equipment based on excimer lasers to the more advanced solid-state laser lift-off equipment, the customer no longer needs any special gas during operation, frequent gas replacement, or maintenance shutdown, thus greatly reducing operating costs and improving equipment utilization.
Recently, Focuslight’s solid-state laser lift-off system retrofit project was completed acceptance at the customer’s product line. This project further reveals Focuslight’s competitive advantages and core technologies, and it has opened up Focuslight’s new business model which will bring more economic benefits to customers in the semiconductor display industry.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

China Micro Corporation (AMEC): Performance continues to grow at a high level and profitability remains at a high level​


  1) 2023H1: The company expects to achieve operating income of approximately 2.527 billion yuan, an increase of approximately 28.13% year-on-year; An increase of 109.49%~120.18%; the net profit attributable to the parent company after deducting non-existing expenses was 500~540 million yuan, a year-on-year increase of 13.45%~22.53%; 6.61%; net profit attributable to the parent was 705-755 million yuan, +100.98%-115.24% year-on-year, +155.89%-174.04% quarter-on-quarter; net profit attributable to the parent after deducting non-existing expenses was 272-312 million yuan, +7.02%-22.75 year-on-year %, month on month +19.44%~37.00%.

  The performance continues to grow rapidly, and the profitability of leading semiconductor equipment remains at a relatively high level. The market share of the company's front-end etching equipment continues to increase, and MOCVD equipment is rapidly increasing in volume in Mini LED. The company expects to achieve operating income of about 1.304 billion yuan in 2023Q2 (including about 908 million yuan for etching equipment and about 132 million yuan for MOCVD equipment), a year-on-year / month-on-month +27.51%/+6.61%; calculated by the median, it is estimated that in 2023Q2, the net profit attributable to the parent company will be 730 million yuan, which is +108.11%/+164.96% year-on-year/month-on-month; the net profit attributable to the parent company after deducting non-existing items is expected to be 292 million yuan , YoY/MoM +14.89%/+28.22%.

The company's CCP and ICP equipment is rapidly breaking through. In the logic production line, the company basically achieves full coverage of the etching process; in 3D NAND, the company's etching equipment can be applied to mass production of 64 layers and 128 layers, and is developing a new generation of equipment to meet the etching equipment and process of extremely high aspect ratio. The company continues to maintain high-intensity R&D investment for core technology innovation. In the process of expanding storage and etching production lines, the company's related equipment will provide important assistance.

  The urgency and certainty of equipment replacement, the company's strategic position is highlighted under the background of domestic replacement. Changes in the external trade environment have accelerated the process of localization. Etching is one of the most difficult links in China. Taking 3D NAND as an example, the increase in the number of layers requires etching technology to achieve a higher aspect ratio. higher performance requirements. Downstream fabs urgently need localization, and it is expected that the company will usher in a rapid breakthrough in products and a substantial increase in share in the future. The company is a leader in domestic etching equipment. New equipment such as LPCVD, ALD, and EPI are steadily advancing. The ceiling of the track where it is located is rapidly increasing, and the future growth space can be expected.
 

tokenanalyst

Brigadier
Registered Member

The second phase of the fund accelerated its layout, focusing on weak areas​


The integrated circuit industry fund (big fund) is accelerating its deployment and continues to invest in weak links in the domestic semiconductor industry chain such as semiconductor manufacturing, materials, and equipment. According to data from Tianyancha, as of now, the second phase of the Big Fund has invested in 37 companies. Among them, a number of listed companies received re-investment in the second phase of the large fund after receiving the first phase of investment from the large fund. Summarizing the investment characteristics of the second phase of the big fund, it basically continues the characteristics of the first phase covering chip design, manufacturing, packaging and testing, materials and equipment industry chain. Judging from the current investment subdivisions, the second phase of the Big Fund focuses more on equipment and materials with obvious shortcomings, and focuses on improving the key industrial chain of the semiconductor industry. The radio frequency power supply in semiconductor manufacturing equipment is a key area of localization. Readers can pay attention to "GMpower Tech". GMpower Tech has been focusing on the field of radio frequency power supply for 18 years . Localization needs, focus on R&D and production of radio frequency technology, solve the bottleneck problem of domestic semiconductor core components, and have won unanimous praise from application customers.​
 

Wahid145

New Member
Registered Member

Microconductor Nano Releases 2 Major New Products: The First Generation iTronix® Series CVD Film Deposition Equipment​


On June 29 , SEMICON China 2023, the world's largest and most influential semiconductor event, was kicked off in Shanghai. At the event site, Jiangsu Microconductor Nano Technology Co., Ltd. (stock code 688147 , referred to as " Microconductor Nano " ) presented two major new products and officially released the first-generation iTronix® series CVD film deposition equipment independently developed by the company. This marks another breakthrough in the field of semiconductor thin film deposition by Microconductor Nano, and it is also a key step for the company's diversified layout to activate new growth drivers.

iTronix ® PE series plasma-enhanced chemical vapor deposition coating system can deposit different kinds of films, which can be applied to chip manufacturing in the fields of logic, storage, advanced packaging, display devices and compound semiconductors. At the same time, the new platform can be equipped with more reaction chambers to meet high production capacity requirements.
View attachment 116008

iTronix ® LP series low-pressure chemical vapor deposition coating system adopts specially designed reaction chamber and electrical software integration services. It is widely used in the fields of logic chips , DRAM chips , NAND chips , etc. -Development and application requirements of Si , SiO 2 , SiN and other thin film deposition processes.

View attachment 116009

As a leading enterprise in domestic atomic layer deposition ( ALD ) technology , Microconductor Nano has been deeply involved in the field of high-end thin film deposition equipment for many years. The revenue scale of ALD products ranks first among similar domestic companies. A variety of semiconductor ALD equipment covers logic, storage, and compound semiconductors. , new display and other subdivided application fields, the key process indicators have reached the international advanced level.

Driven by innovation, leading the future. The iTronix ® series of CVD thin film deposition equipment launched by Microconductor Nano is another milestone in the company's innovation road, greatly enriching the product matrix, and laying the foundation for the company to focus on ALD technology, CVD and other vacuum thin film technology gradient development. Strategic Positioning. At present, the iTronix ® series CVD film deposition equipment for microconductor and nanometer has received orders from customers, and the equipment verification is progressing smoothly. In the future, Microconductor Nano will provide customers with more choices and more excellent customized solutions, and continue to make efforts in the field of thin film deposition equipment.

Please, Log in or Register to view URLs content!
Does anyone has idea about these ALD and CVD series and how they compare to Naura and Tuojing (Piotec) equivalent? I feel like China is replicating what they did with Smartphone industry. Come up with high quality brands (Xiaomi, Huawei, Oppo, Vivo, OnePlus, Tecno etc etc) in very short period of time. If they can get their hands on the Lithography Machine, the rest of the SME would be flourishing in next couple of years.

Insane to see China has multiple companies in just PVD/CVD/ALD (Naura, Piotec, Leadmicro, Wanye, AMEC and more?)
 

gelgoog

Brigadier
Registered Member
These companies do not have 100% overlap in products. But I think you could make at least two full stack companies competitive with TEL and Applied Materials with what is available.
 

tokenanalyst

Brigadier
Registered Member
Does anyone has idea about these ALD and CVD series and how they compare to Naura and Tuojing (Piotec) equivalent? I feel like China is replicating what they did with Smartphone industry. Come up with high quality brands (Xiaomi, Huawei, Oppo, Vivo, OnePlus, Tecno etc etc) in very short period of time. If they can get their hands on the Lithography Machine, the rest of the SME would be flourishing in next couple of years.
AMEC and Piotech are collaborating in deposition, AMEC is a investor of Piotech.
Insane to see China has multiple companies in just PVD/CVD/ALD (Naura, Piotec, Leadmicro, Wanye, AMEC and more?)
Naura has made a name to themselves in physical vapor deposition, sputtering, TSV deposition, advanced packaging and oxide deposition.
LeadMicro has made their entire business around Atomic Layer Deposition, high-k materials, depositing atomic thin layers of metals and oxides.
AMEC main business is MetalOrganic deposition and they are now the only player in China that sells Tungsten chemical deposition equipment.
Piotech is the only player in China with sub-atmospheric chemical deposition.

Of course they have overlapping businesses but everyone is trying to get their own niche market.
 

FairAndUnbiased

Brigadier
Registered Member
Does anyone has idea about these ALD and CVD series and how they compare to Naura and Tuojing (Piotec) equivalent? I feel like China is replicating what they did with Smartphone industry. Come up with high quality brands (Xiaomi, Huawei, Oppo, Vivo, OnePlus, Tecno etc etc) in very short period of time. If they can get their hands on the Lithography Machine, the rest of the SME would be flourishing in next couple of years.

Insane to see China has multiple companies in just PVD/CVD/ALD (Naura, Piotec, Leadmicro, Wanye, AMEC and more?)
It is fine if they have open comms protocols as well as premade proprietary software that allows the factory to choose either custom tailor their own code to seamlessly fuse multivendor operations, or use the instruments as stand alone tools.

Typically software locking is something certain companies do to exploit monopoly positions, Chinese companies are more likely to focus on the product itself.
 

tokenanalyst

Brigadier
Registered Member
Oh Oh.

Trump accuses Taiwan (TSMC) of taking away America's semiconductor business​

1689705612692.png


Former US President Donald Trump, in a Fox News interview, accused Taiwan of taking away American's semiconductor business, and said the US government should have tariffed Taiwan, implying that trade barriers should be erected against Taiwan. The former President failed to acknowledge the fact that the Biden Administration has successfully invited Taiwan Semiconductor Manufacturing Co., Ltd to build chips in Arizona and bring its semiconductor supply chain partners along with it to invest in the US.

"Taiwan, they took our business away. We should have stopped them. We should have taxed them. We should have tariffed them," said Trump, when answering the host's question on the geopolitical risks of Taiwan being a target of China's aggression.
Trump's statement has already raised a few eyebrows in Taiwan, with major media outlets flashing the headline as a news alert this morning.
"Trump is completely ignorant about the semiconductor industry," said Jackson Hu, former chairman and CEO of the United Microelectronics Corp (UMC), who also has accumulated decades of experience working in Silicon Valley.
Hu said American semiconductor companies did well as integrated device manufacturers (IDMs), but as Moore's Law progressed, the cost of developing new technologies and building fabs became prohibitively expensive. That gave Taiwan's foundry service a niche to serve the customers so that they don't need to shoulder the capital burden themselves.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top