Chinese semiconductor industry

Status
Not open for further replies.

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Germany produces specialty gases used in etch and deposition.

Please, Log in or Register to view URLs content!

for example the fluorocarbon gases are used for silicon etch.

they also produce ultrapure excimer gas formulations for lithography sources.

Please, Log in or Register to view URLs content!
I remember both Huate gas and Kaimeite gas qualifying for lithography gas products.

Not sure about etching gas, but probably they are there too.

I mean china can always retaliate by cutting off noble gases from Germany. That would devastate these German gas companies.
 

BlackWindMnt

Captain
Registered Member
I am not a SME in chip architecture. But this is how I understand it: the bottleneck isn't in the computational steps per clock cycle anymore but in how fast the processor transfers data from memory.

Please, Log in or Register to view URLs content!
. That means it has 1 Tx and Rx channel, with each Tx channel transmitting data as a sequential digital signal. Example: you want to transmit signal A,B,C,D,E. On a serial connection, your computer then transmits A, B, C, D, E, in order. If you have more than 1 channel, you can write more. This is a linear scaling. For 2 channels, you can read/write to/from DRAM 2x faster. So it can transmit i.e. A1, A2, A3, A4, A5, A6. Then B1, B2, B3, B4, B5, B6.

Please, Log in or Register to view URLs content!
.

Please, Log in or Register to view URLs content!
. That's limited by the form factor of the memory stick.

How about stacking those DRAM dies, integrating the DRAM controller straight into your CPU, and reading off the DRAM controller directly with arbitrary channels? 288 pins is pretty big because it has to deal with PCB traces, but you can get thousands of interconnects for IC packages easily. Not SME, ask an actual EE for details.
You are pretty much giving a cpu a huge ass level 3 or 4 cache with stacking dram right on top of it.
 

FairAndUnbiased

Brigadier
Registered Member
I remember both Huate gas and Kaimeite gas qualifying for lithography gas products.

Not sure about etching gas, but probably they are there too.

I mean china can always retaliate by cutting off noble gases from Germany. That would devastate these German gas companies.
Please, Log in or Register to view URLs content!
China's share in global neon supply may rise to 50 per cent from 30 per cent, as some foreign buyers switch to Chinese production
 

european_guy

Junior Member
Registered Member
Germany produces specialty gases used in etch and deposition.

Please, Log in or Register to view URLs content!

for example the fluorocarbon gases are used for silicon etch.

they also produce ultrapure excimer gas formulations for lithography sources.

Please, Log in or Register to view URLs content!

Yes, but they produce them in their Chinese plants.

Please, Log in or Register to view URLs content!

The news comes from Bloomberg, a proven (fake) rumor mill. I would be cautious to consider it reliable.

The real threat, if news is true, is not that Germany blocks chemicals, but that it means also Japan will do. Because for sure it would be done in concert with Japan, and in this case the impact of an eventual ban on materials would be real.
 

tokenanalyst

Brigadier
Registered Member

Domestic semiconductor equipment has entered the growth stage, and many A-share manufacturers have grown significantly​


The anti-globalization trend is forcing the domestic industrial chain to accelerate growth, and the overall strategic position of the equipment sector will become more and more important.
At present, the global semiconductor industry is undergoing the third industrial transfer trend to mainland China.
Statistics show that as of now, 90 A-share companies in the semiconductor industry have disclosed their 2022 annual reports, 70% of the companies have increased their operating income, and more than 50% of their companies have increased their net profit.

Six A-share semiconductor equipment companies, including North Huachuang, Tuojing Technology, Xinyuanwei, Huahai Qingke, Changchuan Technology, and Shengmei Shanghai, will have a year-on-year growth rate of more than 100% in 2022.

① Zhichun Technology: In 2022, the operating income will be 3.05 billion yuan, a year-on-year increase of 46.32%; new orders will be 4.219 billion yuan, a year-on-year increase of 30.62%;
Among them, new orders for semiconductor process equipment were 1.800 billion yuan, a year-on-year increase of 60.71%.
It is estimated that the annual new order range in 2023 will be 5.2-5.7 billion yuan, and the process equipment order range will be 2.0-2.5 billion yuan.
② Xinyuanwei achieved a total operating income of 1.385 billion yuan, a year-on-year increase of 67.12%; a total profit of 219 million yuan, a year-on-year increase of 188.30%.
③Jingsheng Electromechanical: It achieved a net profit of 2.924 billion yuan last year, an increase of about 70% year-on-year, and expects further growth in the first quarter of this year.
④ Huahai Qingke: achieved a total operating income of 1.682 billion yuan, a year-on-year increase of 109.03%; a total profit of 571 million yuan, a year-on-year increase of 191.38%.
⑤ Zhongwei Company: In 2022, the revenue will be 4.74 billion yuan, a year-on-year increase of 52.5%; the amount of newly signed orders will be about 6.32 billion yuan, an increase of about 2.19 billion yuan compared with 2021, a year-on-year increase of about 53%.
⑥ Huafon Measurement and Control: realized total operating income of 1.071 billion yuan, a year-on-year increase of 67.12%; realized net profit attributable to the owners of the parent company of 525 million yuan, a year-on-year increase of 19.67%.
⑦ Fuchuang Precision: In 2022, the total operating income will be 1.543 billion yuan, a year-on-year increase of 83.05%; the net profit attributable to the parent company will be 240 million yuan, a year-on-year increase of 89.71%;
⑧ Tuojing Technology: achieved operating income of 1.706 billion yuan, a year-on-year increase of 125.02%.
*
⑨North Huachuang: The company will achieve revenue of 14.7 billion yuan in 2022, a year-on-year increase of over 50%;
⑩ ACM Research Shanghai: In 2022, the company's operating income will be 2.873 billion yuan, a year-on-year increase of 77.25%

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Progress has been made in the epitaxy research of nitride materials in semiconductor institutes​


Recently, Liu Zhiqiang, a researcher at the Institute of Semiconductors, Chinese Academy of Sciences, has made new progress in the field of nitride material epitaxy, revealed the physical nature of nitride van der Waals epitaxy, proposed the basic principles of two-dimensional material-assisted nitride epitaxy growth, and proposed a solution Solutions and routes for key scientific and technical issues in this field.
In recent years, two-dimensional material-assisted nitride epitaxy has made great progress, and has shown great potential in realizing heterogeneous integration and material assembly of various functional materials. However, due to the lack of a mature theoretical framework, some fundamental issues in 2D material-assisted nitride epitaxy, such as the nucleation mechanism and the origin of lattice regulation on dangling bond-free surfaces, remain unclear, which hinders its further development. In response to this problem, the research team conducted research on the interface configurations at various nitrides/two-dimensional materials/substrates, predicted the behavior of two-dimensional materials-assisted nitride epitaxial growth on different types of substrates, and gave the corresponding Regulatory mechanism and growth front construction scheme. Related results were published online in Advanced Materials under the title Principles for 2D Material Assisted Nitrides Epitaxial Growth .

1682629726509.png1682629754701.png

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
PNC Systems: Customer verification and order possible for 12-inch furnace tube equipment

At the annual shareholder meeting, many financial and business details of the annual report will become the focus of industry attention.

Zhichun Technology has publicly stated before that, based on the background that there are still some types of semiconductor process equipment in China that rely on imports, the company follows market demand, integrates existing talents and technologies, and develops and expands furnace tubes and glue coating and developing equipment. There have been several orders for 8-inch furnace tube equipment, and 12-inch furnace tube equipment is being developed and manufactured, and will soon enter the customer verification stage. The 8-inch glue-coating and developing equipment has been delivered to customers for verification, and the 12-inch glue-coating and developing equipment is still in the stage of patent retrieval and technical evaluation.

Opportunities and Challenges of Wafer Recycling and Parts Cleaning Business

Zhipure Technology previously stated on the investor interaction platform that the parts cleaning business has started with the increase in the number of operating equipment in existing downstream fab factories, and is expected to grow steadily in 2023. The wafer re-production line is built according to the goal of processing 12-inch 28nm wafers, but the current effective capacity of 12-inch 28nm wafers in the market is low, and the actual benefits of this production line have not been fully utilized for the time being.
 

tokenanalyst

Brigadier
Registered Member
The demand for equipment in the semiconductor downstream industry has increased, and the net profit of Shengmei Shanghai Q1 has increased by 2937.19% year-on-year


On April 26, Shengmei Shanghai announced the first quarter report of 2023. The company's operating income was 616 million yuan, a year-on-year increase of 74.09%; 2937.19%.
Shengmei Shanghai said that during the reporting period, the main reason for the growth of the company's operating income was to benefit from the increasing demand for equipment in the downstream semiconductor industry in China and the competitive advantages of the company's products. New customer expansion and new market development have achieved remarkable results, and new products have been recognized by customers. The order volume continued to grow, and the company's revenue maintained high growth.
Shengmei Shanghai is mainly engaged in the development, manufacture and sales of single wafer and tank wet cleaning equipment, electroplating equipment, stress-free polishing equipment, vertical furnace tube equipment, pre-coating and developing equipment, and plasma-enhanced chemical vapor deposition equipment.
 
Status
Not open for further replies.
Top