Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member

Daosheng Semiconductor Project settled in Hushuguan, Suzhou​


According to the WeChat public account "Suzhou Hushuguan Release", on April 7, Suzhou Hushuguan and Daosheng Semiconductor (Suzhou) Co., Ltd. held a project signing ceremony.

The total investment of the project is 370 million yuan. It is planned to build a R&D, manufacturing and sales headquarters covering packaging equipment, testing equipment, testing equipment, wafer-level packaging equipment and production line automation equipment and other full-stack packaging and testing high-end equipment.

According to reports, Daosheng Semiconductor takes the application scenarios of power device products as the entry point, starting from soft solder die bonding machines, AOI testing equipment and packaging automation equipment, to provide full-stack packaging and testing process solutions for packaging and testing factories. The company's main products are die bonding machines, which can be applied to power semiconductors, integrated circuits, multi-chips, flip-chips, etc. The wafer size covers 6 inches, 8 inches and 12 inches, and is suitable for single-row or matrix lead frames and substrates. Meet a variety of packaging forms.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

The 900 million SiC project was launched.​


On April 7, according to news from the Rong Media Center in Shuozhou City, Shanxi Province, Pinglu District successfully held the launching ceremony of the 2023 centralized project resumption. Pinglu District plans to implement 171 key projects this year, with a total investment of 68.847 billion yuan, including the Shanxi Lijing silicon carbide project with a total investment of 900 million yuan .

It is reported that the Lijing silicon carbide project is jointly invested and constructed by Pinglu District Lihang Investment Development Co., Ltd. and Shandong Guojing Electronic Technology Co., Ltd. The goal is to build a third-generation semiconductor and composite new material production base. Liu Shaohan, head of the Lijing Semiconductor project, said that the total investment of the project is 900 million yuan , and it is planned to invest in 600 6-inch silicon carbide crystal growth furnaces.

MBXY-CR-d8de97f5481b13fed8c1248e6cec23e7.png


Guojing Electronics, one of the project investors, was established in 2018 and is engaged in the production of high-purity silicon carbide micropowder and the R&D and manufacturing of silicon carbide single crystal furnaces. In May 2018, Guojing Electronics invested 300 million yuan to build a 5N high-purity silicon carbide micropowder production line and a 6-inch silicon carbide single crystal growth R&D center. After the project is completed, it can produce 100 tons of 5N high-purity silicon carbide 200 crucible silicon carbide single crystal furnaces .

According to reports, the unique technology of Guojing Electronics is that one single crystal furnace can grow two silicon carbide crystals at the same time.

MBXY-CR-fca392fb0c615b083d9ed85a2488fcbd.png


Enterprise search shows that Lijing Semiconductor was established in November 2022. It was jointly funded by Guojing Yuncheng (Shanghai) Technology Co., Ltd. and Shuozhou Pinglu District Lihang Investment Development Co., Ltd., with shareholding ratios of 56% and 44%. The company's main business includes the manufacture and sale of special equipment for semiconductor devices.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Please, Log in or Register to view URLs content!
Nata now claims that its Arf photoresist has been validating on 50nm and 55nm process (maybe ICRD?) and has made some sales. But it's annual production of 25t is so low that, this really doesn't change much. I do think that given Nata has reached this point, Shanghai Sinyang & Xuzhou B&C Chemical should also be close
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Phytium's X100 chip has completed integration with UOS as a helper chip for microprocessor.
Please, Log in or Register to view URLs content!
主要功能包括图形图像处理和接口扩展两类。在图形图像处理方面,集成了图形处理加速 GPU、视频解码 VPU、显示控制接口 DisplayPort 以及显存控制器;在接口扩展方面,支持 PCIe3.0、SATA3.0、USB3.1、SD / eMMC、Nandflash、I2S 音频控制器等多种外设接口
Two main use cases
- It integrates GPU, video decoding VPU & display port
- it supports PCle3.0, SATA.30, USB3.1 and other interfaces
 

tokenanalyst

Brigadier
Registered Member

China Micro Corporation (688012): Japanese regulation + storage security China Micro Corporation Α fully shows.​


Etching equipment market space is vast/competitive concentration is high/barriers are high. As a domestic leader, China Microelectronics has undertaken the important task of domestically replacing key etching equipment. The recent implementation of Japanese equipment export restrictions and the "Micron review" incident further highlights China's The importance of etching equipment for micro companies, we believe that the company is based on etching equipment and thin-film equipment is the spear, and is expected to follow the growth path of AMAT/LAM and become a leader in semiconductor equipment platforms.

  Damascus and extremely high aspect ratio etching are the focus of the "de-J" trend and the "Micron review" incident, and China Microelectronics is expected to achieve a breakthrough.

  Damascene etching is a key technology in the production of logic chips. In the production process of logic devices of 28 nanometers and below, the integrated Damascene etching process needs to complete the etching of through holes and trenches at one time. One of the etching processes with the highest rate, Japan’s TEL (Tokyo Electronics) has an oligopoly in this product, and the market feedback of AMEC’s Damascus etching solution is good. Recently, the Japanese government announced a new export control policy for 23 types of semiconductor equipment. The "de-J" process of Damascus etching equipment is expected to speed up; in addition, extremely high aspect ratio etching is the most difficult and critical process in 3D NAND storage. (>40:1) deep hole/deep groove, the US and Japanese equipment oligopoly monopolizes this market, China Microelectronics is making good progress, XD-RIE series products already have a 60:1 fine hole etching potential, for domestic 3D NAND production lines The localization of equipment on the 128th floor and above is of great significance. The recent "Micron Review" incident is expected to further promote the localization of storage, and the importance of Micron is highlighted.

  New equipment such as LPCVD/ALD/EPI is progressing smoothly, and the advantages of MOCVD equipment are highlighted, waiting for the market to recover.

  Thin film deposition and etching belong to the two core links of semiconductor front-end equipment, both of which are chemical processes in the cavity. AMEC is further developing LPCVD, EPI and ALD products to improve the coverage of high-end key processes and improve the process integration scheme . CVD/ALD equipment: In 2022, the company's first CVD tungsten equipment will be shipped to key storage clients for verification and evaluation. At the same time, the company is connecting with more logic and storage customers to verify CVD tungsten equipment. The company is further developing new types of CVD tungsten and ALD tungsten equipment to achieve material filling of higher aspect ratio structures. At present, laboratory tests have begun and key customers have started docking verification. In addition, the company developed high-end storage and logic devices. ALD titanium nitride equipment is also advancing steadily, and has entered the stage of laboratory testing; in terms of EPI equipment, the company has completed the establishment of a research and development team and entered the stage of prototype design, manufacturing and commissioning. According to Gartner data, the global market space for LPCVD/ALD/EPI/MOCVD equipment will total US$7.3 billion in 2022, accounting for about 32% of the market space for thin-film equipment. The company has rapidly achieved platform expansion through endogenous R&D.

  Waiyan holds Shanghai Ruili/shares in Tuojing Technology, and has become a leader in platformization along with the development of AMAT/LAM.

  Zhongwei Company has further improved its layout in the field of thin film deposition equipment by participating in Tuojing Technology. The 28-nanometer chip production line has also undergone 14-nanometer process verification, and the 3D memory chip production line supports the production of 64-layer 3D NAND chips, and is verifying the measurement performance of 96-layer 3D NAND chips. In the short term, the "de-J" trend and the "Micron review" event-driven companies have become the focus, and the importance of Micron in the high-barrier big track has become prominent. In the medium and long term, after reviewing the two leading companies AMAT and LAM Growth history, AMAT started with thin film deposition technology and then expanded to etching and other technologies to build platform barriers; LAM started with etching technology and then entered into thin film deposition and other fields to open up the growth ceiling, all of which succeeded in the core high barrier competition in the early stage of development At the same time, the two leading companies have a rich history of extension mergers and acquisitions, which is similar to the strategic planning/development trend of China Micro.​


Please, Log in or Register to view URLs content!

"De-J". Lmao.

Marketing 101: Never let a good crisis go to waste.

"Oh no, you can't get Japanese tools at time, do not worry, here are ours tools"
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member

China Micro Corporation (688012): Japanese regulation + storage security China Micro Corporation Α fully shows.​


Etching equipment market space is vast/competitive concentration is high/barriers are high. As a domestic leader, China Microelectronics has undertaken the important task of domestically replacing key etching equipment. The recent implementation of Japanese equipment export restrictions and the "Micron review" incident further highlights China's The importance of etching equipment for micro companies, we believe that the company is based on etching equipment and thin-film equipment is the spear, and is expected to follow the growth path of AMAT/LAM and become a leader in semiconductor equipment platforms.

  Damascus and extremely high aspect ratio etching are the focus of the "de-J" trend and the "Micron review" incident, and China Microelectronics is expected to achieve a breakthrough.

  Damascene etching is a key technology in the production of logic chips. In the production process of logic devices of 28 nanometers and below, the integrated Damascene etching process needs to complete the etching of through holes and trenches at one time. One of the etching processes with the highest rate, Japan’s TEL (Tokyo Electronics) has an oligopoly in this product, and the market feedback of AMEC’s Damascus etching solution is good. Recently, the Japanese government announced a new export control policy for 23 types of semiconductor equipment. The "de-J" process of Damascus etching equipment is expected to speed up; in addition, extremely high aspect ratio etching is the most difficult and critical process in 3D NAND storage. (>40:1) deep hole/deep groove, the US and Japanese equipment oligopoly monopolizes this market, China Microelectronics is making good progress, XD-RIE series products already have a 60:1 fine hole etching potential, for domestic 3D NAND production lines The localization of equipment on the 128th floor and above is of great significance. The recent "Micron Review" incident is expected to further promote the localization of storage, and the importance of Micron is highlighted.

  New equipment such as LPCVD/ALD/EPI is progressing smoothly, and the advantages of MOCVD equipment are highlighted, waiting for the market to recover.

  Thin film deposition and etching belong to the two core links of semiconductor front-end equipment, both of which are chemical processes in the cavity. AMEC is further developing LPCVD, EPI and ALD products to improve the coverage of high-end key processes and improve the process integration scheme . CVD/ALD equipment: In 2022, the company's first CVD tungsten equipment will be shipped to key storage clients for verification and evaluation. At the same time, the company is connecting with more logic and storage customers to verify CVD tungsten equipment. The company is further developing new types of CVD tungsten and ALD tungsten equipment to achieve material filling of higher aspect ratio structures. At present, laboratory tests have begun and key customers have started docking verification. In addition, the company developed high-end storage and logic devices. ALD titanium nitride equipment is also advancing steadily, and has entered the stage of laboratory testing; in terms of EPI equipment, the company has completed the establishment of a research and development team and entered the stage of prototype design, manufacturing and commissioning. According to Gartner data, the global market space for LPCVD/ALD/EPI/MOCVD equipment will total US$7.3 billion in 2022, accounting for about 32% of the market space for thin-film equipment. The company has rapidly achieved platform expansion through endogenous R&D.

  Waiyan holds Shanghai Ruili/shares in Tuojing Technology, and has become a leader in platformization along with the development of AMAT/LAM.

  Zhongwei Company has further improved its layout in the field of thin film deposition equipment by participating in Tuojing Technology. The 28-nanometer chip production line has also undergone 14-nanometer process verification, and the 3D memory chip production line supports the production of 64-layer 3D NAND chips, and is verifying the measurement performance of 96-layer 3D NAND chips. In the short term, the "de-J" trend and the "Micron review" event-driven companies have become the focus, and the importance of Micron in the high-barrier big track has become prominent. In the medium and long term, after reviewing the two leading companies AMAT and LAM Growth history, AMAT started with thin film deposition technology and then expanded to etching and other technologies to build platform barriers; LAM started with etching technology and then entered into thin film deposition and other fields to open up the growth ceiling, all of which succeeded in the core high barrier competition in the early stage of development At the same time, the two leading companies have a rich history of extension mergers and acquisitions, which is similar to the strategic planning/development trend of China Micro.​


Please, Log in or Register to view URLs content!

"De-J". Lmao.

Marketing 101: Never let a good crisis go to waste.

"Oh no, you can't get Japanese tools at time, do not worry, here are ours tools"
Do we know what node is TEL at for different etching tools? Did blocking TEL tools really matter all that much for AMEC?
 

tokenanalyst

Brigadier
Registered Member
Do we know what node is TEL at for different etching tools?
NAURA and AMEC are pretty competitive in the etching space with both having an array of etching tools for different materials and semiconductor nodes. So I think NAURA and AMEC are in pretty good position for taking market share from the big ones here.

But Tokyo Electron has more manufacturing capacity, that is also something that have to be taken into account.

Did blocking TEL tools really matter all that much for AMEC?
Export controls doesn't mean that the TEL tools are blocked it means that now are going to be reviewed by a Japanese bureaucrat before being exported, depending how Japan differ from US in export controls it could add significant lag time in the shipping of this tools

Etching is AMEC main business , so a course anything that help them to sell more etching tools is good for them, if TEL have trouble selling their tools in China that means their main competitor would be now NAURA.
 

tokenanalyst

Brigadier
Registered Member

The profit of CMP polishing pad business increases, and the net profit of Dinglong shares in 2022 will increase by 83% year-on-year​


Jiweiwang News On April 10, Dinglong Co., Ltd. released its 2022 annual report, achieving operating income of 2.721 billion yuan, a year-on-year increase of 15.52%; net profit attributable to shareholders of listed companies was 390 million yuan, a year-on-year increase of 82.66%; The company's shareholders' net profit after deducting non-recurring gains and losses was 348 million yuan, a year-on-year increase of 68.47%; basic earnings per share were 0.42 yuan.

Dinglong shares stated that the revenue growth is mainly due to the sharp increase in sales revenue of CMP polishing pad products year-on-year, and the increase in CMP polishing liquid, cleaning liquid products, and flexible display materials YPI and PSPI products; the increase in net profit is mainly due to new business The profit of the CMP polishing pad business of the segment increased significantly with the increase in revenue. The overall profit of the consumables segment increased significantly year-on-year due to the increase in revenue, the increase in product gross profit and the impact of exchange rate changes.

Dinglong Co., Ltd. began to transform and upgrade to the field of semiconductor new materials in 2012, and made a layout in the field of CMP polishing pad materials for integrated circuit manufacturing. Accumulated a wealth of experience to help the company seize market opportunities under the trend of self-reliance in the domestic semiconductor industry chain, quickly transform mature development results into economic benefits, and continue to expand the product layout in the field of semiconductor materials. Long-term sustainable performance growth.

At the same time, the sales of upstream color toner, consumable chips, and developing roller products of consumables are driven by the sales growth of terminal toner cartridges. At the same time, the company continues to develop new products such as color toner and consumable chips; The company focuses on special work such as cost reduction, cost control, efficiency improvement, and management optimization to improve the profitability of terminal products. In addition, the expansion of the market share of Xinchuang products and domestic printers provides potential opportunities for the company to cooperate with original printer manufacturers, driving the performance of the toner cartridge business to develop better.

In the semiconductor CMP process material business sector, Dinglong focuses on the core consumables of the CMP link, takes the mature product CMP polishing pad as the entry point, and promotes the horizontal layout of CMP polishing liquid and cleaning liquid products. Various CMP consumables are compatible with each other to meet customers Requirements for stability; in the semiconductor display materials business sector, the company has made a layout around the upstream core "stuck neck" materials used in the manufacture of flexible OLED display screens, and launched a series of key new flexible display materials such as YPI and PSPI. The horizontal layout of the industrial chain can make full use of the research and development resources and quality inspection resources of related semiconductor materials, and the concentration of customers of different materials in the same field can integrate the company's industry and market resources, speed up the market expansion of the company's material products, and reduce marketing costs , Improve business operation efficiency.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Jiutongfang: 6 mature RF EDA products have been launched, and 3 are under development​

A few days ago, Hubei Jiutongfang Microelectronics Co., Ltd. (hereinafter referred to as "Jiutongfang") has made new progress. According to Optics Valley Financial Media Center, the company has launched 6 mature products and 3 more are under development for all 9 tools of the leading RF EDA tool chain in the industry. It is expected to complete the high-level RF field tool chain in 2025. Domestic substitution.

Optics Valley Rong Media Center reported that in 2012, after Jiutongfang settled in Wuhan East Lake High-tech Zone, it aimed at the most critical field of radio frequency chips in wireless communication, and opened the road to EDA research. The company has established cooperation with many local manufacturers such as Feisiling Microelectronics, and has built an industrial ecology with more and more small and medium-sized enterprises.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top