Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member

The invested project Shiyu Precision completed a new round of financing​

Recently, Shiyu Precision, an investee company of Stony Brook Capital, completed the B-round financing of hundreds of millions of yuan. The leading investor is a well-known leading institution, followed by institutions such as Orient Securities Capital, Sunrise Capital, Shuimu Wutong, Furong Capital, and Gaoxin Capital. .
Since its establishment, Shiyu Precision has won the favor of many well-known investment institutions such as Stony Brook Capital, Jinpu Investment, and Linsong Venture Capital.
It is reported that the funds obtained from this round of financing will be used for the construction of the Shanghai R&D base and overseas R&D centers in South Korea and Japan, as well as the development of new products, and actively expand the international market while deeply cultivating the company's domestic market.
Shiyu Precision was established in 2013 and is headquartered in Songjiang District, Shanghai. It has a number of independent patents and a strong R&D team. It was selected as the fourth batch of specialized and new "Little Giant" enterprises by the Ministry of Industry and Information Technology.
The company can provide customers with BGA/wafer/ABF carrier board ball planting machine and inspection and repairing machine, ultra-thin memory chip stacking machine, high-precision high-speed FC flip chip placement machine, IGBT multi-functional high-precision placement All-in-one machine, high-precision hot pressing flip chip mounter, 2D/3D optical AOI inspection and measurement system, chip appearance inspection and sorting equipment, laser marking & cutting drilling machine, ABF carrier board automatic production line, etc. A series of high-end packaging and testing equipment. Since 2018, the company has taken automation equipment as the entry point, and has successively provided various process-related automation equipment for leading semiconductor packaging and testing customers and substrate manufacturing customers.
1669143861788.png
Up to now, Shiyu Precision has made breakthroughs in multiple semiconductor equipment segments. The company is committed to becoming a leading one-stop solution provider in the semiconductor field at home and abroad, and a rising star in the domestic packaging and testing equipment field.

Please, Log in or Register to view URLs content!
 

daifo

Captain
Registered Member
雷神 (
Please, Log in or Register to view URLs content!
not Raytheon) has put on a new FX2 server which uses
Please, Log in or Register to view URLs content!
using 2 16 core KH40000/16 CPUs. I don't see it as particularly competitive, but who knows.

Chinese desktop/server chips will be default "non-competitive" against intel/amd if we just judge it by raw power and it will be that way for possibly 5-10+ years. However, there are particular use cases where raw power does not matter as much. The obvious would be SLA for domestic x86 chips, another scenario is that web servers just handling simple webservices (mostly doing "get" request) or serving files for active sites don't need the raw power since much of the time is wasted in I/O or network transit. Being energy efficient and 'good' price would be beneficial for the latter.
 

tokenanalyst

Brigadier
Registered Member

Molecular beam epitaxy project of Beijing Zhongke Core Electronics Co., Ltd. signed a contract with Changshu​

Please, Log in or Register to view URLs content!

NOVEMBER 22, 2022
On November 18, Changshu Economic and Technological Development Zone held a centralized signing ceremony for key industrial projects in the golden autumn to empower the high-quality development of the industry. The 15 industrial projects signed this time have a total investment of about 9.5 billion yuan, including emerging industrial projects such as Zhongke Core Electron Molecular Beam Epitaxy Wafer that breaks through foreign technology blockades and realizes domestic substitution.



41ebdf90f621b972bf975013b5683f80.jpg!a




According to reports, the Molecular Beam Epitaxy project team of Beijing Zhongke Core Electronics is led by Zeng Yiping, a doctoral supervisor of the Institute of Semiconductors, Chinese Academy of Sciences, and most of its members are top talents in the domestic semiconductor material industry. Its core product indicators have reached the international first-class level, and it is one of the very few mass-produced suppliers in China.



c800d6b0b22004c8dfd76e99a86cfa6a.jpg!a




The total investment of the project is 500 million yuan, of which equipment investment is about 480 million yuan. A large-scale production base for gallium arsenide molecular beam epitaxy wafers and an MOCVD production line will be built. The annual output of 6-inch microelectronics and optoelectronic epitaxy wafers will exceed 700,000. The sales exceed 700 million yuan, and the annual tax exceeds 60 million yuan.



0aca5874e2e77aac5118f32c8e9c6b68.jpg!a
 

ansy1968

Brigadier
Registered Member
Anybody want a $2,000 Iphone ;) , From Tom's Hardware, the cost is associated that the 3nm chip is produce in Taiwan, now IF TSMC is forced to FAB it in Arizona to service American company like Apple we maybe looking at $30,000 per wafer. @hans_r bro need your comment especially with your thinking cap on.:)

Now 3D stacking seems attractive and I can't wait for the Return of the King to show the pretender how to do it.;)

TSMC Will Reportedly Charge $20,000 Per 3nm Wafer​

By
Please, Log in or Register to view URLs content!

published about 6 hours ago
GPUs and SoCs to get more expensive

Wafer

(Image credit: Shutterstock)

TSMC will reportedly hike the pricing of wafers processed using its leading edge N3 (3nm-class) process technology by 25% compared to N5 (5nm class) production node. This will immediately make complex processors like GPUs and smartphone SoCs more expensive, which will make devices like graphics cards and handsets costlier. Meanwhile, prohibitively high costs will make multi-chiplet designs more appealing.

One wafer processed on TSMC's leading edge N3 manufacturing technology will cost over $20,000 according to
Please, Log in or Register to view URLs content!
(via
Please, Log in or Register to view URLs content!
). By contrast, an N5 wafer costs around $16,000, the report says.

There are many reasons why making chips on N5 and N3 production nodes is expensive. First up, both technologies use extreme ultraviolet (EUV) lithography pretty extensively for up to 14 layers in N5 and even more with N3. Each EUV tool costs $150 million, and multiple EUV scanners have to be installed in a fab, which means additional costs for TSMC. Also, it takes a long time to produce chips on N5 and N3, which again means higher costs for TSMC.

TSMC's Alleged Wafer Pricing​

TSMC doesn't generally reveal prices for its wafers, except to actual customers. It's also important to note that contract pricing — what larger orders from companies like Apple, AMD, Nvidia, and even rival Intel are likely to use — may be lower than the base prices. Still, here's what the report says about current prices.







Price per Wafer$20,000$16,000$10,000$6,000$3,000$2,600$2,000
NodeN3N5N7N10N2840nm90nm
Year2022202020182016201420082004
Chip developers who use TSMC's services are expected to pass the costs of new chips on to downstream customers, which will make smartphones and graphics cards more expensive. Even now, Apple's iPhone 14 Pro starts at $999, whereas Nvidia's flagship GeForce RTX 4090 is priced at $1,599. Once companies like Apple and Nvidia adopt TSMC's N3 node, we can expect their products to get even more expensive.

Of course the actual chip cost can still be relatively small compared to all the other parts that go into a modern smartphone or graphics card. Take Nvidia's AD102, which measures 608mm^2. Dies per wafer calculators estimate Nvidia can get about 90 chips from an N5 wafer, or a base cost of $178 per chip. Packaging, PCB costs, components, cooling, etc. all contribute probably at least twice that much, but the real cost is in the R&D aspects of modern chip design.
Header Cell - Column 0N3E vs N5N3 vs N5
Speed Improvement @ Same Power+18%+10% ~ 15%
Power Reduction @ Same Speed-34%-25% ~ -30%
Logic Density1.7x1.6x
HVM StartQ2/Q3 2023H2 2022
While there are rational reasons why TSMC's prices are getting higher, it should be noted that that the company can get away with it as it currently doesn't have any rivals that can produce chips using a leading edge fabrication technologies with decent yields and in high volumes. While formally Samsung Foundry is ahead of TSMC with its 3GAE process technology (3nm-class, gate all around transistors), it is believed that it is used only for tiny cryptocurrency mining chips due to insufficient yields. Meanwhile, Samsung Foundry's 4nm-class process technology did not live up to expectations as far as performance is concerned.

When (and if) Samsung and Intel Foundry Services offer process technologies that outperform those of TSMC, the world's largest foundry will have to somewhat limit its prices, although we do not expect chip prices to drop because of intensified competition on the foundry market as fabs are getting more expensive, chip development costs are rising, and fabrication technologies are getting more complex.
In general, the costs of making chips on leading edge nodes began to rise rapidly in mid-2010s when Intel, GlobalFoundries, Samsung, TSMC, and UMC adopted FinFET transistors. At the time costs rose for everyone despite intense competition between contract semiconductor manufacturers.
TSMC's first client to use N3 is expected to be Apple, which can afford developing an appropriate SoC, produce it in high volume, and still make money on its hardware. Apple has not indicated what kind of processors the company plans to make on N3, but a follow-up to the current M2 and A16 Bionic seems logical. Other chip developers may hold off using TSMC's N3 for now because of its prohibitively high costs and use chiplet-based designs instead due to lower development costs, lower risks, and lower production costs.
Again, please note that TSMC does not comment on its quotes and has not commented on information that it will charge ~$20,000 per N3 wafer. Those figures come from industry insiders and may or may not reflect actual high volume prices.
 

Jianguo

Junior Member
Registered Member
prepare for RMB based trading system. Because , tech was was enivetable after trade was loss and there will be financial war if the hegemon loses the tech war. China cannot win by trying to localize everything, because after some time, even the lithium , iron, petroleum and even soyabean exports to China will be targeted.
If the United States tries to do that... It will be a hot war... Many countries might just respond to stop reading in goods that the United States seeks and need to import too...

But aside from that, China is pursuing a policy of maximizing self reliance. Whatever can be produced and obtained domestically, procure and produce it to the hilt...
China is the champion of globalization. They only pursue self sufficiency in the essentials of national security, like primary food grains, energy and strategic technologies like weaponry, aerospace and electronics. If we look at semiconductors, if it wasn't for the idiotic American sanctions, China's semicon equipment industry would have still been struggling like it always has because China's business community follows the laws of comparative advantage to a tee. Before the sanctions, every Chinese company would typically buy foreign equipment even when some comparable domestic equipment existed at better prices. It's only when China is "FORCED" that it will seek maximum self reliance out of self preservation. China's attempts to build a complete semicon supply chain are entirely the result of American sanctions NOT because it has a policy of maximum self reliance.

The stupid part of this shitshow is that it's glaringly obvious that China can and will overcome every sanction and blockade thrown at it. This is simply inevitable given its market size, capabilities and potential. This is something the think tankers in the neocon/liberal West simply do not grasp. The collective "West"...inclusive of developed Asia and other willing OECD allies have pursued futile policies trying to suppress China, a country with greater population AND potential then the entire OECD combined. In the case of the Anglo-American countries (5-eyes), they went too far and have burned their bridges permanently. The clock is already ticking. It's now only a matter of time before every remaining American dominated tech sector used as leverage to maintain global hegemony is overcome and with it any remaining non-financial reasons used to maintain the current global order. So, these attempts at semicon self-sufficiency cannot be looked at in isolation as individual technology developments but as a coordinated attempt by the powers that be to prevent the decline and eventual replacement of the current "order".
 

tokenanalyst

Brigadier
Registered Member

1.3 billion yuan China Resources Microelectronics DAS high-end mask project foundation laying​


According to news from Jiwei.com, on November 22, the foundation stone laying ceremony of China Resources Microelectronics Diss high-end mask project was held in Wuxi High-tech Zone.

Wuxi DSI Microelectronics Co., Ltd. is a subsidiary of China Resources Microelectronics. It is a company engaged in mask foundry business and one of the few domestic companies capable of producing mid-to-high-end masks. According to public information in June this year, DSM completed 620 million yuan in equity financing, and all the funds will be used for the construction of high-end photomask production lines.

According to Wuxi Daily, the foundation-laying project has an investment of about 1.3 billion yuan. It will build a 40-nanometer advanced photomask production line to help companies further improve their mask manufacturing capabilities, achieve both production capacity and technical level improvements, and will also fill domestic high-end photomask production lines. The gap in the field of mask foundry has injected new momentum into Wuxi to accelerate the creation of an integrated circuit landmark industry. After the D&S high-end mask project is completed, Wuxi D&S Microelectronics will become one of the largest open mask factories in China.

In addition to the D&S high-end mask project, several projects of China Resources Microelectronics have ushered in new progress recently: China Resources Microelectronics Shenzhen 12-inch integrated circuit production line construction project started on October 29; China Resources Microelectronics power semiconductor packaging and testing base project On October 17, the first batch of equipment moved in.

Please, Log in or Register to view URLs content!
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Good article on Huahong grace's future growth.
Please, Log in or Register to view URLs content!
A couple of interesting point.
值得关注的是,在本次募投项目规划前夕,华虹半导体不仅手握百亿货币资金,而且6月末曾获得国家集成电路产业基金的战略投资,华虹12英寸厂注册资金将增加约7.6亿美元至约25.37亿美
So from the look of this, leading Chinese fabs do get strategic investment from the national gov't (not just the domestic ones in JVs) that help them put in more capex for expansion. And again, the Wuxi fab is growing to 95k wpm and it's only costing $2.54 billion in capital? All of SMIC's projects involve a lot more capital. Just shows that Huahong Grace's Wuxi 12-inch fab is still operating at a lower node than both its HLMC JVs and all of SMIC's new 100k wpm fabs.

此外,自2021年以来粤芯半导体、积塔半导体等晶圆代工厂同行先后宣布融资扩产,未来产能供应过剩及高折旧亦成为市场担忧的重点问题。截至发稿日,晶合集成已完成科创板IPO注册,拟募资95亿元;中芯集成已完成科创板IPO首轮问询回复,拟募资125亿元。

一位半导体行业资深投资人士向记者表示:“虽然180亿并不是一个小数目,但公司若能成功在A股IPO上市,其在特色工艺技术领域的大手笔投入后,会力助其加速发展,同时优化原有的8英寸老厂和新建无锡12英寸晶圆厂的产能,能够扩大功率半导体领域的占有率
This part also quite interesting. It talks about Cansemi and Shanghai Jita making large expansion announcement. SMEC (中芯集成) and Nexchip (晶合集成) raising funds from IPO. So, there is the very real possibility of overcapacity in the Chinese market once all this capacity come online. 18 billion RMB is not that much money, but it believe it can gain significant market share from investing the funds it raises in an IPO.

To me, the Chinese industrial/auto/power chip market is going to get very crowded in 3/4 years. CR Micro is expanding big time and same with Silan. It's not clear to me if Huahong has any real advantages over them (except being bigger). I think Huahong group would be better served to spend more resources on carrying out more ambitious advanced node projects. China has enough mature fabs coming online. It needs more advanced node capacity.
 

mmbro

New Member
Registered Member
TAIPEI (Taiwan News) — The average annual income of salaried workers in Taiwan registered NT$677,000 (US$21,713) in 2022, the highest in seven years, according to a survey by 104 Job Bank.

The income is defined as payment that comprises the monthly salary, premiums, bonuses, and other rewards. The amount of NT$677,000 represents a 3.1% rise year on year.
Please, Log in or Register to view URLs content!

TAIPEI (Taiwan News) — As it prepares to build a new plant in New Taipei City, Dutch lithography equipment manufacturer ASML Holding N.V. is planning to offer engineers with a master's degree over one million Taiwan dollars in starting annual salary, while training will be offered to some workers without a technical background.

Amid ASML's plans to build new facilities in New Taipei City's Linkou District, the firm said that it will provide competitive rewards, dividends, and benefits to draw 2,000 new workers. The firm is not only offering newly graduated engineers with a master's degree an annual salary of NT$1.6 million (US$51,000), but is also budgeting millions of Taiwan dollars per person to train engineers, and will provide opportunities for new employees with non-technical background
Please, Log in or Register to view URLs content!
 

gelgoog

Lieutenant General
Registered Member
Please, Log in or Register to view URLs content!
One of the fields that China, which has ambitions to become a semiconductor superpower, is focusing on is DRAM.

For China, where the amount of DRAM required is by far the largest in the world, there is a goal of eliminating the situation in which DRAM manufacturers have become an oligopoly of three companies and prices remain high. For this reason, China is proceeding with mass production of DRAM at a rapid pace, but how far has it progressed?

This time, we looked into the production status and future investments of "CXMT (ChangXin Memory Technologies)", a Chinese DRAM manufacturer.

In addition, since the trend of CXMT is the part that I am paying attention to, I will add further comments to this analysis as new information comes in.

CXMT DRAM development and production status patent issues
Newcomers like CXMT have a problem of having few patents, but they announced in 2019 that they would adopt the technology of Qimonda (Germany), which went bankrupt in 2009, for manufacturing technology. The announcement was shocking news in the industry.

DRAM is classified into two types, "trench structure" and "stack structure", depending on the structure of the memory cell, Qimonda was good at trench structure.

However, since the trench structure is not suitable for miniaturization, Qimonda worked on the stack structure, which has become the standard in the DRAM industry, and combined it with the power-saving features derived from the trench technology, which Qimonda is good at, so "buried word line DRAM technology" was developed.

In 2008, the company started small-scale production of DRAMs using "embedded word line technology." However, in 2009, the business went bankrupt due to the worsening market conditions.

It seems that Qimonda's patents, after it went bankrupt, were held by its original parent company, Infineon (Germany).

Trends in patents after the Qimonda bankruptcy
Qimonda's strength in trench structure technology was transferred to Nanya Technology in Taiwan because it was not suitable for the times when miniaturization was required. Graphics DRAM-related technology had a small market size and limited demand, so it was sold to Elpida, who wanted it at the time. (Currently, Micron, which acquired Elpida, has the top share in graphics DRAM)
Primarily embedded word-line DRAM technology and other promising general-purpose technologies are available under license. ←This is what China CXMT acquired.

And it is said that a technical engineer who worked at Qimonda is also leading development.

Furthermore, in 2020, CXMT signed a DRAM-related licensing agreement with Rambus, which has a wealth of patents. It seems that the intellectual property problem is definitely being resolved so as not to be sanctioned by the US government.

Added April 2022
In addition, it seems that China's CXMT is in 10th place in the semiconductor-related category of the European patent application ranking in 2021, which can be said to be one proof that manufacturing technology and knowledge have been established.

Miniaturization process
According to CXMT's announcement, it acquired the 46nm stack structure DRAM technology of Qimonda in Germany, which went bankrupt in 2009, and shrinked the process technology with engineers recruited from all over the world, and mass production began with the 19nm process.

CXMT's technology development consisted of two teams, a development team recruited from a Taiwanese company and a development team from Samsung. The Taiwan team was developing 19nm, and the Samsung team was developing 17nm.

It seems that there was a plan to start mass production with 19nm first, and then shift to 17nm when production becomes smoother, and this seems will be realized in the future.

In March 2022, the yield of DDR3/DDR4 mass-produced products using the 17nm process will reach 40%, and shipments of niche DRAM are expected to begin in the second quarter (April to June) of 2022., according to a research company.

Manufacturing status of the three market leaders
In October 2021, Samsung reportedly started mass production with a 14nm process using EUV exposure equipment.
SK Hynix reportedly started mass production of 14nm process DRAM using EUV exposure equipment from July 2021.
Micron reportedly entered mass production of 13nm from November 2022. In addition, it announced that it will manufacture 12 to 13 nm without using EUV exposure equipment.

Each 1nm advance in miniaturization increases the amount of DRAM available from a 300mm wafer by up to 25%. Therefore, each manufacturer is competing with "1nm".

Yield (percentage of non-defective products)
As of 2020, it was said that the manufacturing good product rate (yield) had not yet improved, and it was said that the yield of the 19nm process was less than 50% in the industry.

And as of April 2022, it is clear that the yield of the 17nm process has reached 40%.

The industry consensus is that the business will not turn profitable unless the yield is 80% to 85% or higher. Samsung, SK Hynix, Micron, etc. are aiming for a yield of 90% or more.

Production volume and industry share
...
CXMT is said to have started aggressive sales from April 2022 due to an increase in the yields, so sales and market share will possibly increase in Q4 (October to December) of FY2022.

Status of factory construction and capital investment
Regarding the construction of the CXMT factory, as of 2021, it will own one huge factory in Hefei City with a monthly production capacity of 120,000 wafers. A second factory is expected to open in 2023.

As an investment target for manufacturing facilities, the monthly production capacity of 40,000 wafers by the end of 2020 is planned to be increased to 80,000 by the end of 2021 and to 120,000 by 2022. All investments are in the first fab in Hefei City.

Since the total monthly production capacity of all DRAM makers in the world is about 1.4 million, monthly production of 120,000 is about 7-8% of the world's DRAM production capacity.

According to Japanese manufacturing equipment manufacturers such as Tokyo Electron and SCREEN, 20 to 30% of sales are for China, and it is announced that orders from Chinese companies are strong, so inevitably demand from CXMT can be expected to be high.

Final target production volume
The original future production target for CXMT was 500,000 pieces per month. The goal was to build four factories with a monthly production capacity of 125,000 wafers per semiconductor manufacturing building.

125,000 x 4 = 500,000

This “500,000” target is the level of production volume of Samsung, the top DRAM in 2020. The tension is quite high, but the nation's wish was to compete with Samsung and lower the price of DRAM.

So CXMT used to aim for monthly production of 500,000 pieces, but now it seems that it is aiming for monthly production of 300,000 pieces for the time being. It is believed that behind this is due to the lack of growth in DRAM demand for smartphones, PCs, home appliances, etc., as well as the problem of the US-China conflict.
 
Last edited:

gelgoog

Lieutenant General
Registered Member
(cont.)

LPDDR production
China has a large number of smartphone makers such as Xiaomi, OPPO, VIVO, and Huawei, and there is a correspondingly large demand for DRAM.

China, a rival of South Korea's Samsung in the smartphone market, wants to quickly mass-produce DRAM for smartphones and reduce DRAM procurement costs.

The smartphone is mainly equipped with low power consumption type LPDDR (Low-Power DDR) instead of general general-purpose DRAM, but it is said that CXMT LPDDR will be adopted by major smartphone manufacturers in 2021.

CXMT has not been able to secure the level of DRAM quality and quantity required by Chinese smartphone makers, so it seems that it will take a little more time for it to be adopted by major smartphone makers.

South Korea's Samsung accounts for about 50% of the global share of LPDDR for smartphones, and the rest is shared by South Korea's SK Hynix and Micron in the United States.

Manufacturing equipment
CXMT's suppliers of exposure equipment, etching equipment, deposition equipment, cleaning equipment, inspection equipment, etc. for DRAM manufacturing are Applied Materials (USA), Tokyo Electron, ASML (Europe), Lam Research (USA), SCREEN (Japan), KLA-Tencor (US), and Advantest (Japan).

After all, since the manufacturing know-how is not yet established, it seems that the equipment of the company with the highest quality and evaluation is adopted. And the consensus in the industry is that their financial payment situation is good in order to ensure the procurement of equipment.

At the request of the Chinese government, it is requested to use equipment manufactured by Chinese companies, but at present the domestic production ratio of semiconductor manufacturing equipment is quite low.

About funding
CXMT was established with a 75% stake from Hefei City, Anhui Province, a local government, and a 25% stake from Zhaoyi Chuangxin (semiconductor manufacturer).

It is said that the semiconductor business requires a large amount of investment on the order of several hundred billion yen to one trillion yen every year. This is expected to be funded by the Chinese government.

Pluses and minuses
It is a Chinese semiconductor company that is in conflict with the United States, and it is a newcomer, so I think it will be difficult in various ways, but I will list some of the pluses of CXMT too.

plus
Regarding the problematic manufacturing patent, it was able to acquire the technology of Germany's Qimonda, which gave it the foundation to make a leap forward.
Since the DRAM industry has become an oligopoly of three companies and prices remain high, there is a demand from DRAM procurement manufacturers that CXMT should grow and launch price competition. It can bring various benefits.
There are many companies in China that need DRAM, so it is easy to benefit from it. Lenovo on PC. For smartphones, Xiaomi, OPPO, VIVO, etc. For data centers, Alibaba, Huawei, Baidu (search), Tencent (game company), etc.

minus
China lacks semiconductor engineers.

Recruiting high quality human resources from overseas companies
It is necessary to secure human resources while avoiding sanctions from the United States.
Although it has acquired Qimonda's technology, CXMT lacks intellectual property and patents, and for cutting-edge DRAM manufacturing, it has to clear other companies' intellectual property issues.
Since there is a problem with operating funds, it is necessary for the Chinese government and local governments to continue to provide subsidies.
It may be difficult to procure manufacturing equipment due to the conflict between the United States and China. The problem exists in the most advanced exposure apparatus.
Even if China succeeds in developing DRAM, there is a possibility that it will be avoided by foreign companies simply because it is "made in China." In particular, there is a high possibility that companies with huge data centers in the United States, such as Google, Amazon, Apple, and Microsoft, will avoid it due to political risks.

Unable to introduce EUV exposure equipment
Major DRAM manufacturers such as Samsung, SK Hynix, and Micron have announced that they will introduce EUV exposure equipment (state-of-the-art equipment) in DRAM production in the future. However, China is unable to introduce an EUV exposure machine due to the moves of the US government.

ASML of the Netherlands is the only company in the world to successfully develop and sell EUV lithography equipment. ASML is in a state of conjecture.

If the current situation continues, CXMT will use "ArF immersion exposure equipment", which is the technology prior to EUV exposure equipment, and use "multi-patterning technology (a method of drawing circuits repeatedly)" to manufacture. However, there is still a problem that the method of exposing many times increases the manufacturing cost.

According to Micron, "From 12nm to 13nm, ArF immersion lithography will give us an edge over EUV in terms of overall price competition, so we plan to continue manufacturing mainly with ArF immersion lithography equipment until then." However, based on that standard, if EUV exposure equipment is not used after 11 nm, the price competition will be lost.

Problems of "ArF immersion exposure" + "multi-patterning method"
As the number of exposures increases, productivity deteriorates, and material costs such as resist and etching also increase.
The technical difficulty of exposure accuracy for nanometer-level positioning increases. Yield decreases. (Chinese semiconductor manufacturers who cannot introduce EUV exposure machines will face these problems)
When further miniaturization is required such as 11nm → 10nm → 9nm → 8nm, the manufacturing cost and technical difficulty inevitably increase compared to manufacturing using EUV exposure equipment.

How far will miniaturization go?
The problem is "to what extent can DRAM manufacturers achieve miniaturization?" Due to the characteristics of DRAM, there is a problem that the capacity of the capacitor (electric storage) cannot be maintained as miniaturization progresses. (DRAM should store electricity and retain data, but that is no longer possible).

Each manufacturer is trying to solve the problem with technical ingenuity besides miniaturization, but in any case, miniaturization will be an important factor in the competition.

It is said that miniaturization is becoming difficult even at 14nm, which is the most advanced as of 2022, but assuming that miniaturization will stop at about 11nm to 12nm due to the problem of the yields, CXMT will be on "ArF immersion + if it can establish the manufacturing know-how for "multi-patterning", it should be able to somehow establish a business with the power of China even without EUV exposure equipment.

However, if miniaturization and a high quality product rate are to be achieved to the level of 9nm or 8nm, CXMT will lose significantly to other companies in terms of both yields and manufacturing cost, making business very difficult.

By the time the miniaturization of DRAM hits a dead end, MRAM may be emerging in place of DRAM. (MRAM is a non-volatile next-generation memory led by Kioxia and Sony in Japan).

While existing DRAM manufacturers are obsessed with improving yields, Japanese companies want to overturn the industry with MRAM.
 
Status
Not open for further replies.
Top