Chinese semiconductor industry

Status
Not open for further replies.

Blitzo

Lieutenant General
Staff member
Super Moderator
Registered Member
Here is a similar claim by a non-Indian (but still a Western white guy):


There is a reason why people have been complaining in this thread about random posts and links to twitter and articles from think tanks and media on the topic of China's semiconductor industry.

It is the same reason why most articles and tweets and takes from think tanks and media on leading edge PLA developments are often rubbished, it is because:
- they are unable to identify accurate Chinese sources and interpret them correctly
- they do not understand the existing facts on the ground and the past facts that have already occurred

In the main PLA watching subforums we actively enforce rules where people link to bad quality articles and links and tweets in a way that leave them unchallenged as if they are credible, and that is because they actively bring down the level of discussion and leads to back and forths to explain why no, a random tweet from a random person is not worth our time.


I would like to make it clear to everyone that those rules do also apply to other subforums, including this one and including to this thread.



Now, this thread is also full of useless posts without substance -- alternating between people doomposting and/or making unsubstantiated confident claims as well, and while those posts technically are not against the rules (within reason), they are also a waste of space, and essentially a form of emotional venting.


So, in my own capacity as moderator, I would request people to :
1. Stop posting random English language tweets and articles that lack clear rationale or credibility -- or at the very least if you must post it, at least convey to us why it is worth our time and why it should be considered credible. If there is a consistent pattern of posting bad quality tweets, links and articles that have to be repeatedly debunked and explained why they are a waste of time, then there will be a potential of moderator penalty.

2. Can people stop using this thread as a place for emotional venting, whether it's doom-posting or cope-posting? Excessive amounts of such behaviour can and will be subject to moderation on the basis of non-constructive contributions to the thread.



I am going to lock this thread for a day to let people all have the time to read this, and then re-open it afterwards.
 

Blitzo

Lieutenant General
Staff member
Super Moderator
Registered Member
Now unlocked. This thread will be watched closely from hereon forth, and let me make it clear that the penalties swing both ways.
Excessive amounts of emotional venting and excessive amounts of shouting down others and telling them to shut up and making personal attacks will not be accepted.

If you feel someone has breached the rules, then do not engage them, simply make a report, and be patient.
 

ansy1968

Brigadier
Registered Member
Reposting from the other thread from @Oldschool

Filling the blank of domestic etching process application, NAURA assists Shanghai ICRD 14nm SADP independent process research and development
Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

2019-12-05 14:55Official Account of Semiconductor Investment Alliance
focus on
On November 21, Shanghai Integrated Circuit Research and Development Center Co., Ltd. (ICRD) and NAURA jointly announced that ICRD completed the 14nm fin using domestic equipment such as NMC612D Inductively Coupled Plasma (ICP) etcher. The independent development of the related process of self-aligned double pattern (14nm FinFET SADP) of the transistor, all process indicators have reached the mass production requirements, and significant progress has been made in the 14nm core process technology of integrated circuits.
d833c895d143ad4b5176ecf5711f80aaa40f064a.png@f_auto

ICRD uses domestic integrated circuit equipment for core process research and development. North Huachuang NMC 612D ICP etching machine is used as the core etching process equipment. Its process performance will directly affect the process performance and yield of fin transistor devices. With its excellent etching The technical advantages of morphology control, uniformity control, lower etching damage, and higher etching selectivity ratio, etc., NMC612D ICP etching machine of NAURA has made a significant contribution to the successful development of related processes, and has contributed to the successful development of 5nm The independent development of SAQP technology has laid a solid foundation.
The successful application of NMC612D inductively coupled plasma etcher in ICRD's 14nm FinFET SADP process development is a major milestone achieved by the strategic cooperation between ICRD and NAURA, which fills the 14nm process of domestic high-end integrated circuit equipment in the field of advanced integrated circuit technology. The blank of FinFET SADP etching process application proves that the performance of the domestic machine has reached the advanced level in the industry. (Proofreading/Pictures)

Please, Log in or Register to view URLs content!

baijiahao.baidu.com

baijiahao.baidu.com
 

ansy1968

Brigadier
Registered Member
An overview regarding the restriction

New round of US sanctions, American executives in China begin to withdraw? (List of global equipment manufacturers)​

Source: Dahua Chip Release time: 2022-10-13 09:13:25 Author: Dahua Chip
The United States imposed a new round of sanctions on China on Friday, the toughest since 2018. After the sanctions were released, under the pressure of US policy, American technicians and managers in China began to withdraw. This article is a staff member of LAM, an American semiconductor equipment manufacturer, who shared the situation in the past few days. The content of the dialogue is very valuable for reference.
1662342128283936.jpg


Before the content of the dialogue is presented, let's analyze the global semiconductor equipment pattern with you.
For a long time, due to the technical restrictions imposed on China by some western countries such as the United States and Europe, China can only purchase semiconductor equipment that is lagging behind the most advanced technology by one or two generations. After the Sino-US trade war, the United States has tightened its control over China's semiconductor equipment. This round of U.S. sanctions is the most insane in history, basically trying to block the way China imports semiconductor equipment.
In the global semiconductor equipment industry structure, the United States, the Netherlands, and Japan occupy a monopoly position in the industry. The world's top five semiconductor equipment manufacturers are: Applied Materials in the United States, ASML in the Netherlands, LAM RESEARCH in the United States, Tokyo Electronics in Japan, and Kelei in the United States, of which the United States accounts for three. The semiconductor equipment industry is a field with a very high threshold. The five global semiconductor equipment giants have been established for more than 30 years, and the list of the top five suppliers has not changed much. In addition, the Netherlands and Japan are bound by the United States.
China's semiconductor equipment industry is relatively a latecomer, with a relatively low market share. Currently in the stage of development from scratch. In terms of etching machines, it has been able to produce the world's most advanced etching machines and put them into TSMC's production line. Although it also has the ability to produce lithography machines, lithography machines with advanced technology cannot be produced.

Representative enterprises of the global semiconductor equipment industry chain
Equipment typeforeign companiesDomestic enterprises
Oxidation furnaceAmerican Applied Materials, Japan Hitachi, Tokyo ElectronicsNorth Huachuang, Yitang Semiconductor
Glue developing equipmentTokyo Electronics, Japan Deans (DNS), Germany SUSS MicroTec (SUSS MicroTec)Core Source Micro
LithographyNetherlands ASML, Japan Nikon, Japan CanonShanghai Microelectronics
EtcherAmerican Lamm Research LAM, Tokyo Electronics, Applied MaterialsChina Micro Semiconductor, North Huachuang
Ion implanterAmerican AxceliesKastone, Zhongkexin
cleaning equipmentDeans of Japan, Tokyo Electron, LAM Research of the United StatesNorth Huachuang, Shengmei Semiconductor, Zhichun Technology
Quality testing equipmentAmerican KLA Kelei Semiconductor, Japan HitachiShanghai Ruili, Shanghai Microelectronics, Shanghai Jingce
Electrical testing equipmentAmerican Teradyne, Japan Advan, Tokyo ElectronicsChangchuan Technology, Huafeng Measurement and Control, Shanghai Zhongyi
CMP equipmentEvatec Japan45 Huahai Qingke and Electric Power Equipment Institutes
CVD equipmentLAM Research, USA, Tokyo ElectronNorth Huachuang, Shenyang Tuojing
PVD equipmentJapan Evatec, Japan UlvacNorth Huachuang

Conversation
Q: Are you Lam's? Are you directly responsible for the two customers, Changcun and Changxin?
A: Yes. Just turned around and was in charge of Changxin.

Q: Lam's current situation on the implementation level of this ban?
A: The ban was issued by the US government over the weekend. The specific implementation rules will be officially announced on October 12. Changxin and Changcun should be banned directly. Americans cannot directly serve logic, DRAM, and NAND, such as SMIC, Huali, Changxin, and Changcun. All personnel should be evacuated from the scene on October 12.

Q: SMIC also had this process before?
A: This time is different from that time, this time is stricter. At that time, SMIC only banned below 28nm (mainly 14nm and 7nm). At that time, people could still go to service and mainly control passes and machines. This time, even American people, equipment, and parts are banned.

Q: Will some on-site support be restored in stages after specific implementation plans such as No. 12 are implemented?
A: This time is very difficult, and I won't be able to go later. We have to wait for the details on Monday. At present, Applied Materials and Lam are doing different things. Applied Materials is currently as usual. Lam can support before the specific details on the 12th, but not after the 12th. The previous case of SMIC has no reference significance, and SMIC has not banned services before. Lam serves hundreds of people in Changxin, and there will definitely be layoffs after this ban. The resignation procedure that the American does today.

Q: Can orders placed before October 7 still be delivered?
A: It cannot be delivered, which is also different from before. The equipment that SMIC purchased before the ban could be moved in, and now it cannot be delivered even if it has paid for it. The advance payment will be returned. Changxin is only an oral order, and Changxin has not given advance payment. Changcun has some advance payment, but the ban will not move in when it starts to last.
 

j17wang

Senior Member
Registered Member
Errr, EUV *is* harder than bombs... much harder. That's why even a country like North Korea could concievably make plutonium bombs but no country in the world (not even the US) can make EUV all by itself.

That's why the US empire has made sure it has on its side a bloc of the most advanced industrial countries, plus India, with a combined population and GDP that dwarf's China's.

And no, you can't just reverse engineer stuff anymore. Technology is much more complicated than that. If it was that easy, all China would have to do is reverse engineer a Boeing 747 and it no longer has to worry about making a CR929 or CJ-1000 or CJ-2000. All they would have to do is "reverse engineer" the chip on any iPhone 14 and they can make an EUV. litho machine But it doesn't work that way.

So no, it's not clear they can operate that stuff by themselves without the staff or without imported materials. The fact that TSMC and SK Hynix were forced to get waives to continue operating their plants in China for a year suggests that these plants need foreign imports to continue to operate.

Friday, October 7, 2022 is a day that will live in infamy. It's Pearl Harbor for the Chinese semiconductor industry and it's become increasingly clear that despite years of warnings, they were caught with their pants down.

Bro, your right.... China has no way of taking on the superpowa! As usual [citation needed]
 

ansy1968

Brigadier
Registered Member
continue......

Q: How many prepaid orders were placed before Changcun?
A: I don't know much about longevity.

Q: Changxin has no undelivered orders with advance payment at all?
A: Yes, the Changxin No. 2 factory has not been built yet. It was just a part of the discussion recently, but no payment was made.

Q: How does Lam communicate with Changxin about the follow-up?
A: Waiting for the details on the 12th, but not so optimistic. Lam's internal company believes that it is necessary to prepare for layoffs this time, and Lam has hundreds of people in the long run.

Q: Can the production line that is already running in the first phase of Changxin still be supported?
A: Americans can't come again, and Changxin can no longer get any technical support from the US headquarters. Now, the resignation procedures that Changxin and Changcun Americans in their fabs have to do today have only two options: giving up their American citizenship and resigning.

Q: Changxin has given a clear 18nm node. Can Changxin still get 19nm equipment from Lam?
A: Basically can't get it. Although the limit is 18nm, to supply Changxin with equipment, Changxin needs to sign an agreement, and Changxin, Changcun, and SMIC will not sign. The United States will basically not agree to apply for permission. For equipment that uses American technology and comes from American factories, an agreement must be signed to ensure that the products will not be used in military and other fields, and will not be used below 18nm. Once violated, the US government will compensate a large amount of money.

Q: Are these practices a clear statement of the order placed in the United States, or are the companies deduced by themselves?
A: These general directions are correct. Americans cannot support them. The technologies and equipment controlled by the United States cannot be used in Logic, DRAM, and NAND. In addition to SMIC and Huali, other logics are also affected. This time, more fabs are affected. It is estimated that the final result may be Fujian Jinhua.

Q: Lam also withdraws from SMIC South's support team? But SMIC South couldn't get Lam's equipment?
A: Yes. SMIC South does more 7nm and 14nm. Although SMIC South can't get Lam's equipment, there are still a small number of people doing services in SMIC South.

Q: Is there any clear feedback from the United States now? What support can be given to customers after the 12th?
A: Not yet. After the 12th, and before the 12th, you can follow Lam's own understanding.

Q: Are there any American people in the Lam team? What will be the consequences if the American personnel continue to be in Changxin?
A: Americans will not take this risk. If American personnel continue to develop in Changxin, they will face the risk of being arrested when they return to the United States or pass through a country that can be extradited by the United States.

Q: From the perspective of equipment companies, how big is the impact of Americans not being able to support? Lam itself installed and maintained the personnel or Chinese?
A: Although the maintenance and installation personnel are Chinese, the technology is from the United States. Lam cannot do without the support of the US headquarters during service.

Q: Will it affect the operation of Changxin's existing production capacity?
A: Only from the perspective of support services, the impact is not significant. Changxin and Changcun can absorb the personnel laid off by Lam. There is no problem in installation, but the consequences of not having spare parts should be considered.

Q: After being banned, Fujian Jinhua has still formed some production capacity, and is even expanding production?
A: When it was banned, Fujian Jinhua had already installed some machines. The existing production capacity is mainly the first batch of equipment. It took more than half a year for the first batch of equipment to be installed on the site before it was banned. Later, some second-hand equipment was bought from outside, but not much.

Q: Fujian Jinhua can still produce normally after being banned, and there is no problem with parts?
A: All parts can be obtained from Taiwan and South Korea. Lam and Applied Materials do not produce these by themselves. Fujian Jinhua can find OEM channels to obtain them.
After the ban on Fujian Jinhua came out, there was absolutely no new equipment from Lam, and none of Lam and Applied Materials stayed in Jinhua, and they all evacuated and could not be remotely. Jinhua has absolutely no connection with American companies. What Jinhua did was not as sophisticated as Changcun and Changxin. Jinhua had already bought the technology from UMC at that time.

Q: Now, as long as you are American, it is illegal to support these companies in any form anywhere in the world?
A: Yes.

Q: Will getting a U.S. green card be affected?
A: Should not be affected.

Q: For Changxin, which one is the most deadly, and there is no alternative at all?
A: In the short term, it will be difficult for Changxin's fab to build further down, and there is no way to do it without American technology. ASML's lithography machine also has American technology, Zhongwei's etching is not 100% substituted for Lam, and thin-film lithography is not 100% substituted. The second factory expanded by Changxin is based on the production line of the first factory, unless it has a domestic production line like ICRD in Jiading, but the production in Jiading has not yet reached the level of mass production.

Q: Does Lam have equipment at ICRD?
A: Yes. ICRD has a domestic line and a European and American line. When it comes to European and American lines, there is the same machine benchmarking.

Q: Are Americans who are not R&D personnel in the regulated category?
A: It doesn't have to be research and development, and management personnel are also considered.

Q: Some domestic companies are owned by American nationals. Will these companies be shut down?
A: Certainly not American. I don't know what China Microelectronics is going to do now. Yin Zhiyao will either choose to give up his American citizenship, or he will only take a green card instead of American citizenship. It is unlikely that China Microelectronics will give up the domestic market. If China Micro sells equipment to these banned companies, it will definitely be sanctioned.

Q: China is a huge market, but it actually has a great impact on American companies?
A: The market demand for logic, storage, etc. exists objectively. U.S. companies cannot export. Japan and South Korea have opportunities, which is beneficial to U.S. allies. In the short term, it will cause losses to American companies, but in the long run, it will be beneficial to the United States.

Q: Is it possible to expand the scope in the future?
A: This time, the coverage has been very wide, and the impact is very large. The United States doesn't look down on car regulations, so I can't help it.

Q: Is it possible for the American business community to offer opinions?
A: The CEO of Lam Company also knows that the loss is huge, but this is the policy of the country, and complaining is useless.
On the 12th, we will formally communicate with customers about the way of service and supply in the future.

Q: As long as there is a little bit of technology from the United States, will it be tracked? What is the attitude of Japan and Taiwan?
A: If the equipment is assembled and produced in Japan, the equipment can be sold normally. It does not matter if 10%-20% of the parts on the machine are produced in the United States.

Please, Log in or Register to view URLs content!

美国于上周五对华进行新一轮制裁,此次制裁的力度是2018年以来更为严厉的一次。制裁发布后,迫于美政策压力,在国内的美国籍技术人员和管理人员开始撤离。本文是一位美国半导体设备商LAM在国内的工作人员分享的这几天的情况,对话
icbom.com
 

ansy1968

Brigadier
Registered Member
And the last part

In-depth report on the semiconductor industry: localization of the bottom layer (3)丨28nm→14nm, what is the layout of domestic semiconductor equipment companies?​

Please, Log in or Register to view URLs content!
May 24, 2022 Jiang Gaozhen of Zheshang Securities
Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!


leading stocks
namerelatedQuote change
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
7.59%
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
7.19%
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
7.02%
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
6.18%
Please, Log in or Register to view URLs content!

capital inflow
namerelatedNet inflow (million)
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
9331.72
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
7080.16
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
5703.83
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
5365.13
Please, Log in or Register to view URLs content!
Bottom-level domestic production: The investment logic of equipment localization is gradually deduced from domestic production line + storage line simultaneous expansion → category expansion + process upgrade under the Capex boom.
Wafer fab expansion + localization rate increase is the fundamental logic for the growth of domestic semiconductor equipment. Data from South Korea’s Knometa Research shows that the IC wafer production capacity in mainland China in 2021 will be about 3.5 million wafers per month (equivalent to 8 inches), accounting for the total global production capacity. Only 16%, excluding the production capacity of Taiwan and overseas companies, the domestic wafer fab production capacity accounts for only about 8%, and according to WSTS data, the semiconductor market in mainland China will account for about 35% of the world in 2021, and the gap between supply and demand is still large. , domestic fabs have long-term capacity for expansion; in addition, the localization rate of semiconductor equipment in my country is still low. Taking thin-film deposition equipment as an example, the localization rate in 2021 will be less than 10%. In terms of the front lithography machine, according to domestic mainstream wafers According to the tender data of the round factory, the localization rate will still be 0 in 2021.
Advanced process: The global production capacity of processes above 20nm accounts for nearly 55%, and domestic production capacity is limited in stages → the localization of 14nm process is expected to gradually be put on the agenda.
Advanced process mainly refers to process nodes above 28nm, which are mainly used in high-performance and low-power applications, such as CPU, GPU, DRAM and other products of mobile phones, PCs, IDCs and other equipment. The advanced process market has a relatively high market share. According to IC Insights' forecast, the global wafer production capacity of 20nm and above nodes will increase from 51.5% to 56.1% in 2021-2024, showing an upward trend. However, due to geopolitical factors, mainland China has advanced The proportion of process capacity is extremely low, which is quite different from the global capacity structure. If the domestic 14nm production line is connected, the demand of domestic customers for advanced process nodes will be released rapidly, and a new expansion plan will be born, which will bring about a wide range of advanced process equipment. Demand, leading domestic semiconductor equipment companies will fully benefit.
Domestic layout: 28nm→14nm, what is the current product layout and future planning of domestic semiconductor equipment companies?
The domestic advanced manufacturing process has broad potential for expansion (SMIC/Huali/ICRD, etc.). According to our review of the products of domestic equipment companies, in terms of process nodes, in addition to lithography machines, 28nm equipment is used in etching, thin film deposition, oxidation diffusion , annealing, cleaning, CMP, ion implantation and other major manufacturing links have been relatively complete, while the coverage of the 14nm node is relatively low. On the 14nm node, North Huachuang (ICP silicon etching/furnace tube equipment, etc.) Dielectric/metal etching equipment), Shengmei Shanghai (cleaning/plating equipment, etc.), Tuojing Technology (PECVD/ALD equipment), Huahai Qingke (CMP equipment) and other companies have product layouts.
Attached Table 1: Sorting out the mass-produced products of domestic semiconductor equipment companies in the 28nm-14nm process node
key company
North Huachuang, Zhongwei Company, Shengmei Shanghai, Tuojing Technology, Wanye Enterprise (Kishitong), Xinyuanwei, Huahai Qingke (unlisted), Yitang Co., Ltd. (unlisted).
risk warning
The risk of the epidemic continuing to spread; the risk of downstream demand being less than expected; the risk of supply chain instability.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!


Please, Log in or Register to view URLs content!

东方财富网研报中心:第一时间提供各大券商研究所报告,最大程度减少个人投资者与机构之间信息上的差异,使个人投资者更早的了解到上市公司基本面变化。
data.eastmoney.com
 

ZeEa5KPul

Colonel
Registered Member
I'd appreciate it if one of the few people here who know what they're talking about like @FairAndUnbiased and @WTAN could shed some light (no pun) on this question: What's the difference between the light source of an LPP EUVL machine and a laser weapon like the Silent Hunter? Both are around the same power, 30 kW for the MOPA lasers ASML uses (and China is rumoured to have recently developed) and 30-100kW quoted for Silent Hunter.
 

ansy1968

Brigadier
Registered Member
Maybe Apple orders are not that big to justify rushing 3nm production?

TSMC delays production of 3nm chips as Samsung Foundry takes process leadership​

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!

PUBLISHED: OCT 16, 2022, 6:06 PM
Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!


TSMC delays production of 3nm chips as Samsung Foundry takes process leadership


TSMC and Samsung are currently battling each other for control of process leadership. Right now, Samsung Foundry has started shipping chips produced using the 3nm process node. The smaller the node size, the smaller the transistors being used with that particular component. That allows more transistors to fit inside a chip. And the higher the transistor count, usually the more powerful and energy-efficient a chip is.

TSMC's biggest customer is Apple with the tech giant generating 25% of the foundry's revenue​


For example, in 2016 the Apple A10 Fusion chip was built on TSMC's 16nm process node and contained 3.3 billion transistors. The iPhone 7 and iPhone 7 Plus each had one of these puppies under their hoods. Let's move ahead to this year's iPhone 14 Pro and iPhone 14 Pro Max models which are both powered by the Apple A16 Bionic. The latter is manufactured by TSMC using its enhanced 5nm node which it is calling 4nm, and each chip has close to 16 billion transistors inside.

Intel's RibbonFET transistor could help it take back global process leadership. Image credit-Intel - TSMC delays production of 3nm chips as Samsung Foundry takes process leadership

Intel's RibbonFET transistor could help it take back global process leadership. Image credit-Intel
Samsung Foundry is currently shipping 3nm chips giving it a lead over TSMC. The latter was expected to start volume production of 3nm chips by last month but this has now been pushed back to the current quarter, the fourth quarter of 2022 according to
Please, Log in or Register to view URLs content!
. Much of the production will be for TSMC's largest customer, Apple, which accounts for 25% of the foundry's revenue.


3nm production from TSMC could be used for Apple's M3 chip expected to be deployed in products released during next spring. The report says that Apple could be the only company receiving the N3 chips from TSMC next year despite earlier comments from the company stating that it expected N3 to be fully utilized in 2023. Seeking Alpha came to this conclusion by noting TSMC's tepid forecast for N3's contribution to next year's revenue.


Samsung Foundry recently revealed a road map in which it said that it would
Please, Log in or Register to view URLs content!
. But there is a third player looking to reclaim process leadership, and this company makes 75% of its chips in the United States! We are, of course, talking about Intel which will start production using its 20A process node in 2024.

This chip will be equivalent to 2nm and will use Intel's new RibbonFET transistors which are more commonly known as GateAllAround (GAA). GAA is already being used by Samsung for its 3nm production and TSMC will use it for its 2nm node. With GAA, current leaks are reduced sharply. Fewer leaks mean that there is less additional power that needs to be made up. GAA is expected to improve performance by 25% with 50% less power consumption.

TSMC is expected to spend over five years on the 3nm and 2nm process nodes​


Intel will also use backside power delivery, a feature it calls PowerVia. This would allow transistors to draw power from one side of the wafer while the other side would be used for communications. It would be the first implementation of a system that would eliminate the need for a transistor to route power along the front of a wafer.

With TSMC expected to be on the 3nm process node for 2.75 years (based on Seeking Alpha's calculations) and 3 years with the 2nm node, TSMC will not be putting any innovations in play for more than five years. And that could help both Intel and Samsung Foundry surpass TSMC as the largest foundry in the world. Don't expect
Please, Log in or Register to view URLs content!
to switch though, as the company has remained a loyal TSMC customer for years.


TSMC currently owns 52.9% of the global chip foundry industry followed by Samsung's 17.3% By 2025, when Intel predicts that it will have regained global process leadership, the entire industry could end up looking much different than it does now.
 

Blitzo

Lieutenant General
Staff member
Super Moderator
Registered Member
-deleted-

The point of that intervention was to tell people like you to stop replying to people like him, and to simply ignore him.

This thread will not be a place of mutual shit flinging and mud wrestling of grievances and insecurities, and that cuts both ways.


============


For now I will merely delete some of the previous offending posts.

However, and I mean this genuinely -- if you see a post which offends you and you are tempted to reply to shut the other side down for the sake of emotional satisfaction, then you are probably better off keeping it bottled inside, and make a report and await moderator intervention.
Do that, instead of seeking instant gratification.

Because if you seek instant gratification instead, chances are you are contributing to the problem of the thread becoming a cesspool of back and forth grievances, and both sides will be moderated as such.
 
Last edited:
Status
Not open for further replies.
Top