Chinese semiconductor industry

Status
Not open for further replies.

european_guy

Junior Member
Registered Member
Looks like Tsinghua is making progress on SSMB as an EUVL light source.
View attachment 99144
As a technology that Tsinghua is been maturing over the time could cut the necessity of complex EUV plasma infrastructure necessary per tool.

View attachment 99145

A ring maybe seem a bit big or complex but take into consideration that a single ring can in theory power multiple Kilowatts tools.

View attachment 99146
Let see what happens because necessity is the mother of invention.

Do you have a link to the paper?

Actually the SSMB is a very elegant solution, the only problem is that is 2030 stuff. Probably it will be Chinese EUV second generation, the first one will be along current ASML solution with tin droplets and everything.
 

tokenanalyst

Brigadier
Registered Member
It is way more complex than that. Just making the EUV lithography machine itself requires the light source, and optics. But then you also need all the associated infrastructure from testing tools, to other fabrication tools, ability to make your own masks, new materials, etc.
Because EUV uses a different part of the spectrum you cannot use the same materials for either masks, resist, or other materials.
There is a lot of work to be done in inspection, sensors, metrology, masks, photoresists, pelicles and so on but cutting all of that EUV plasma infrastructure for something more controllable will be a god send.
 

tokenanalyst

Brigadier
Registered Member
Do you have a link to the paper?
The presentation will be at the end of October in EUVLitho

Actually the SSMB is a very elegant solution, the only problem is that is 2030 stuff. Probably it will be Chinese EUV second generation, the first one will be along current ASML solution with tin droplets and everything.
Who knows, with all this tension, maybe will force them to put more resources into this project. So it could happen a bit sooner. It definitely looks like an elegant solution.
 

latenlazy

Brigadier
There is a lot of work to be done in inspection, sensors, metrology, masks, photoresists, pelicles and so on but cutting all of that EUV plasma infrastructure for something more controllable will be a god send.
Synchrotron downtimes will probably be a lot smaller too. The technology is probably easier to produce at scale (though not cheaper because infrastructure). If they can increase availability and use time with this approach it should lead to faster development of the rest of the EUV stack.
 

theorlonator

Junior Member
Registered Member
It is way more complex than that. Just making the EUV lithography machine itself requires the light source, and optics. But then you also need all the associated infrastructure from testing tools, to other fabrication tools, ability to make your own masks, new materials, etc.
Because EUV uses a different part of the spectrum you cannot use the same materials for either masks, resist, or other materials.
And how is China doing on that front for these extra components? They've had a prototype EUV machine since 2017 no?
 

Weaasel

Senior Member
Registered Member
The Anglophone FT are enjoying themselves.

China’s chip industry set for deep pain from US export controls​

Experts predict tsunami of change’ for semiconductor industry as Washington wields tools tested on Huawei.

FT reporters

Two years after the US hit Huawei with harsh sanctions, the Chinese technology group’s revenue has dropped, it has lost its leadership position in network equipment and smartphones, and its founder has told staff that the company’s survival is at stake.

Now, China’s entire chip industry is bracing for similar pain as Washington applies the tools tested on
Please, Log in or Register to view URLs content!
much more broadly.

Under
Please, Log in or Register to view URLs content!
announced on Friday, semiconductors made with US technology for use in AI, high performance computing and supercomputers can only be sold to China with an export licence — which will be very difficult to obtain.

Moreover, Washington is barring US citizens or entities from working with Chinese chip producers except with specific approval. The package also strictly limits the export to
Please, Log in or Register to view URLs content!
of chip manufacturing tools and technology China could use to develop its own equipment.

“To put it mildly, [Chinese companies] are basically going back to the Stone Age,” said Szeho Ng, Managing Director at China Renaissance.

Paul Triolo, a China and technology expert at the Albright Stonebridge consultancy, said: “There will be many losers as the tsunami of change unleashed by the new rules washes over the semiconductor and associated industries."

He added the impact would be especially profound on Chinese companies using US-origin hardware to deploy AI algorithms including for autonomous vehicles and logistics, as well as medical imaging and research centres using AI for drug discovery and climate change modelling.

“The full impact will take some time to become clear, but at a minimum will slow innovation in both China and the US, ultimately costing US consumers and companies hundreds of millions or even billions of dollars,” Triolo said.

Several of the new controls work through third-country chip manufacturers as almost every semiconductor is designed using US software and most chip plants contain US machines.

“You can look at Huawei as a case study,” said Brady Wang, an analyst at technology market research house Counterpoint. While Huawei could still obtain certain supplies, he said, it was not the most advanced ones but those from a previous era, which would limit the functionality of its products.

The new controls on semiconductor equipment are also a potent weapon, set to hit mainstream manufacturers and leading-edge chip producers. According to analysts at the Bank of America, the equipment restrictions will affect logic chips designed in the past four to five years, and Dram chips designed after 2017. “It’s their sweet spot right now — they’re a laggard in technology and are relying on older tools and technology,” said Wayne Lam, an analyst at CCS Insight.

Chinese chip companies are even more concerned about Washington’s attempts to bar US citizens from supporting them.
“That is a bigger bombshell than stopping us from buying equipment,” said a human resources executive at a state-backed semiconductor plant.

“We do have [US passport holders] in our company, in some of the most important positions,” she said, calling them a “core weapon” for developing technology. “We need to find a way for these people to continue working for our company. This is very difficult. Most people are not willing to give up their US passports.”

Most US citizens in the Chinese chip sector are Chinese and
Please, Log in or Register to view URLs content!
returnees from the US. There are no statistics on the size of this group. But a Taiwanese intelligence official estimated that as many as 200 US passport holders worked in Chinese semiconductor companies.

And the restrictions extend beyond that group. An executive at a semiconductor materials supplier said his company would have to replace all American sales and technical support staff sent to Chinese customers.

Another threat to China’s entire technology industry is a new licence requirement for exporting chips for use in AI and high performance computing.

“The whole point of the policy is to
kneecap China’s AI and HPC efforts, at least those related to the military, with the commercial side collateral damage from the US government point of view,” said Douglas Fuller, an expert on the Chinese semiconductor industry at Copenhagen Business School.

Even some of China’s largest technology companies such as Alibaba and Baidu are thought to be vulnerable. “[Their] whole research and development progress will be slowed down,” said Counterpoint’s Wang.

Experts believe China’s dynamic breed of AI chip design companies will suffer. “If you lose the AI start-ups, you lose their innovation dynamic,” said a Taiwanese electronics industry executive.

As the Chinese semiconductor market by end user now accounts for nearly a quarter of global demand, foreign suppliers are also set to take a hit.

US equipment maker Applied Materials derived 33 per cent of its sales from China last year and its peer Lam Research 31 per cent. Lam Research named Yangtze Memory Technologies, China’s largest memory chipmaker that the US specifically targets under the new rules, as a significant customer in its annual report, and BofA estimates that 6-7 per cent of Lam Research sales are to YMTC.

Since many of Intel’s high-end processors go into Chinese supercomputers, BofA expects that the restrictions could hit up to 10 per cent of Intel’s sales.

But some analysts believe that the measures will favour foreign chipmakers. As the US’s main motive was to slow down China’s development in the most advanced semiconductor technology, leading foreign chipmakers such as
Please, Log in or Register to view URLs content!
or Intel would benefit, said Akira Minamikawa, a semiconductor analyst at research firm Omdia.

He said flash memory makers that compete directly with YMTC, such as Japan’s Kioxia, might “get some benefit” from the new US measures, but the gains would probably be small.

Kim Young-woo, head of research at SK Securities, said the fact that Washington had not imposed a blanket ban on equipment supplies for foreign chipmakers operating in China would come as a relief for Korean semiconductor companies, but the need for export licences could still be a hassle.

The biggest question is how China responds. “We’re in a negative cycle where the US continues to push for restrictions, which pushes the Chinese to strive for technological independence, which in turn pushes the US towards harsher restrictions,” said an industry insider in Beijing.

But Beijing’s levers are limited. “This will propel the Chinese to look for alternatives but with the acknowledgment that alternatives to US technology are decades away,” the person said.

This dire situation could lead to more intellectual property theft. As some equipment now under export controls is already used in China, Beijing could ignore intellectual property rights and reverse-engineer the machinery to strengthen local equipment makers, said Lam at CCS. He added: “We may be shooting ourselves in the foot.

Reporting by Kathrin Hille in Taipei, Qianer Liu and Eleanor Olcott in Hong Kong, Richard Waters in San Francisco, Demetri Sevastopulo in Washington, Kana Inagaki in Tokyo and Song Jung-a in Seoul

Original source:
Please, Log in or Register to view URLs content!


Non paywall source:
Please, Log in or Register to view URLs content!
There is a triumphalist undertone to this and even gloating from those who are quoted...
 

tokenanalyst

Brigadier
Registered Member
And how is China doing on that front for these extra components? They've had a prototype EUV machine since 2017 no?
Appearance can be deceiving their research in EUV seem quite active, the shift from immersion lithography to EUV is pretty noticeable as they move to commercialize the former. Hopefully they will commercialize EUV as soon as possible.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
Of course, there are still parts that need to be improved in this work, and this is also the future direction. For example, the specific action model of each factor affecting focus control is still unclear, and the combined effects of different factors still need to be further studied. These problems involve high technical difficulties, many bottlenecks, and few materials that can be used for reference, which are a major challenge to the development of lithography technology in my country. But at the same time, it should be noted that in the research and development of EUV lithography, the National Science and Technology Major Project "Research on Key Technologies of Extreme Ultraviolet Lithography" undertaken by the Changchun Institute of Optics, Fine Mechanics and Physics of the Chinese Academy of Sciences has successfully passed the acceptance [ 57 ] , and developed A high-performance projection exposure device can meet the requirements of line width of 32 nm. Recently, the Tsinghua University team reported the first verification experiment of a new type of particle accelerator light source "steady-state microbunch" [ 58 ] , which is expected to provide a new technical route for EUV lithography light sources, which also improves the research and development of domestic lithography machines. confidence. Although there is still a big gap between my country and foreign top lithography machine manufacturers in terms of equipment products. However, I believe that as long as we continue to learn from the excellent technologies of domestic and foreign counterparts and organize scientific research teams to conduct solid research, we will definitely be able to make innovations and breakthroughs in core technologies and provide assistance for solving the problem of "stuck neck".


edit: in other words they are not resting in their laurels

Since 1990s, CIOMP has been focused on the research of EUV/X-ray imaging technology, especially in EUV light source, ultra-smooth surface polishing technology, EUV multilayer and correlation technology. And those aforesaid techniques form the applicative foundation of EUV optics. In 2002, the first EUV lithography prototype in China was developed, which verified the EUV lithography in principle. In 2008, EUV lithography technology was listed as a key research task in "32-22nm equipment technology forward-looking research" by the major project. CIOMP carried out the project of “The research on the key technologies of extreme ultraviolet lithography” as a leading organization, together with partners such as CAS Institute of Optics and Electronics, CAS Shanghai Institute of Optics and Fine Mechanics, CAS Institute Microelectronics, Beijing Institute of Technology, Harbin Institute of Technology, Huazhong University of Science and Technology.

The research team insisted the scientific spirit of perseverance, concentrates on the research, and accumulates propound knowledge. With eight years’ hard work, they have mastered a series of core technologies that limit the development of Chinese EUV lithography, such as ultrahigh accuracy aspheric mirror fabrication and testing, EUV multilayer, projection system integration and test, etc. A two-mirror EUVL objective system with the wave-front aberration better than 0.75 nm RMS was developed successfully, and a EUV lithography exposure apparatus was constructed. Using the apparatus, they achieved the first photoresist exposure pattern with 32 nm linewidth by EUV lithographe core technologies of Chinese EUV lithography. At the same time, the implementation of the project hy in China. They established a relatively perfect research and development platform for key technology of exposure optical system, complete successfully the research contents and tasks of national major project, achieve leapfrog development in EUV optical imaging technology, and enhance significantly contributed the establishment of a stable research team, and trained talents for our country to achieve sustainable development in the next generation lithography technology.

Please, Log in or Register to view URLs content!


The idea of companies like CTEC using an "EUV machine" for low-volume patterning of advanced chips for the Chinese military is not as crazy as some might think. Even a machine that only produces 10-20 WPH is much faster than what an ebeam lithography machine can produce. It's not even close to a machine that can be used in commercial production and probably super expensive. But much more productive than e-beam lithography.

Extreme Ultraviolet Photoresist Inspection Platform in Shanghai Synchrotron Radiation Facility​

ZHAO Jun1,2, YANG Shu-Min2, XUE Chao-Fan2, WU Yan-Qing2*, CHEN Yi-Fang1, TAI Ren-Zhong2

Abstract: As the next generation of lithography technology, extreme ultraviolet lithography has been given the mission of saving Moore′s law by the industry. Extreme ultraviolet photoresist is one of the core sub-technologies of extreme ultraviolet lithography. The inspection of its resolution, roughness, sensitivity and outgassing conditions is a necessary condition for the development of extreme ultraviolet photoresist and it is also an important part to optimize the resist performance. Extreme ultraviolet interference lithography based on synchrotron radiation is currently the most suitable method for testing the performance of extreme ultraviolet photoresist. According to related research and development needs, an extreme ultraviolet photoresist inspection platform based on this method has been established in Shanghai Synchrotron Radiation Facility(SSRF). By continuously improving the stability of the device, developing independent beam splitting grating mask manufacturing technology, and constantly exploring and optimizing the corresponding interference exposure process, the current inspection resolution has reached below 20 nm, which basically meets the corresponding requirements for the 7 nm process node of extreme ultraviolet lithography.



1646964053929.png

1646964200225.png


Please, Log in or Register to view URLs content!
 

Weaasel

Senior Member
Registered Member
This is exactly the reason I suggested specific moderators for this thread. That spambot needs to be banned.
How about he posts all the headlines of the Sam topic on a single posting, with links to all the articles, and anyone interested in reading an article in full but can't access the article in full via the link can ask him to share the article privately.
 
Status
Not open for further replies.
Top