Chinese semiconductor industry

Status
Not open for further replies.

KYli

Brigadier
Please, Log in or Register to view URLs content!
The number of 5G mobile users in China had reached 428 million by the end of May, the Ministry of Industry and Information Technology (MIIT) said in a statement on Tuesday, adding that the country had also launched 1.7 million 5G base stations.

According to the MIIT, China's 5G network has now covered all prefecture-level cities and county towns and 92 percent of towns and townships, with more than 12 5G base stations per 10,000 people.

In addition, China's 5G traffic also accounted for 27.2 percent of the country's total mobile traffic, with a year-on-year increase of 19.1 percentage points, it said.
 

tokenanalyst

Brigadier
Registered Member

The Institute of Microelectronics of the Chinese Academy of Sciences has made important progress in the field of a-IGZO transistors​


Recently, the Institute of Microelectronics of the Chinese Academy of Sciences has made important progress in the field of amorphous indium gallium zinc oxide (a-IGZO) transistors.

It is reported that a-IGZO is regarded as one of the best candidate channel materials for high-density three-dimensional integration. The essence of three-dimensional integration technology is to improve the integration density of transistors on a chip. Therefore, for a-IGZO transistors compatible with downstream processes, exploring the limit scaling of its size is the key to achieve high-density 3D integration.

16577968878961.png


Schematic diagram of device structure and TEM characterization

Source: Institute of Microelectronics, Chinese Academy of Sciences

In response to the above problems, researchers from the Key Laboratory of the Institute of Microelectronics have studied the change law of the basic characteristics of a-IGZO transistors when the size is reduced by using a combination of macroscopic electrical testing and microscopic characterization techniques. and the thickness of the semiconductor to improve the gate control capability of the device, further optimize the metal-semiconductor contact, reduce the contact resistance of the device, and use the dual-gate interconnect structure and operation mode with stronger gate control capability to realize the dual-gate a-IGZO with excellent performance. short channel transistors.
 

tokenanalyst

Brigadier
Registered Member

Shengmei Shanghai launches new pre-cleaning equipment for wafer and SiC substrate manufacturing​

Please, Log in or Register to view URLs content!


Shengmei Semiconductor Equipment (Shanghai) Co., Ltd. (hereinafter referred to as "Shengmei Shanghai") (Science and Technology Innovation Board stock code: 688082), as a leader in providing wafer process solutions for semiconductor front-end and advanced wafer-level packaging applications Supplier, today launched a new chemical mechanical polishing (Post-CMP) cleaning equipment. This is Shengmei Shanghai's first Post-CMP cleaning equipment for manufacturing high-quality substrates for cleaning after chemical mechanical polishing (CMP) processes. The 6-inch and 8-inch configurations of the cleaning equipment are suitable for silicon carbide (SiC) substrate fabrication; the 8-inch and 12-inch configurations are suitable for silicon wafer fabrication. The equipment is available in wet-in-dry-out (WIDO) and dry-in-dry-out (DIDO) configurations, with options for 2, 4 or 6 chambers, and has a maximum throughput of 60 wafers per hour (WPH).

Dr. Wang Hui, Chairman of Shengmei Shanghai, said: "The delivery time of the global equipment supply chain continues to increase, which provides a perfect opportunity for Shengmei Shanghai to enter the cleaning market with rich experience in semiconductor cleaning process technology, and further Expanding the cleaning product portfolio. Post-CMP cleaning equipment provides Shengmei Shanghai customers with a stable, reliable and cost-effective solution, while also reducing lead times and greatly reducing shortages.”

Pre-cleaning equipment

After the CMP step, a physical pre-cleaning process with diluted chemicals at low temperature is required to reduce the particle count. Shengmei Shanghai's Post-CMP cleaning equipment can meet these requirements and is available in a variety of configurations, including Shengmei Shanghai's original Smart MegasonixTM advanced cleaning technology.

WIDO online pre-cleaning equipment

The first configuration is the new WIDO in-line pre-cleaning equipment, which can interface directly with existing CMP equipment. Wafers are automatically transferred into two scrubbing chambers, using chemical and cold deionized water (CDIW) to simultaneously process the wafer front, back and bevel edges. The wafers are then transferred to two or four cleaning chambers and processed with a variety of chemicals and CDIW. This process is completed by nitrogen (N2) drying and high-speed rotation, which can achieve less than 15 remaining particles below 37 nm, or 20-25 remaining particles below 28 nm, while metal contamination can be controlled at 1E+8 (atoms/square centimeter). When configured with 4 chambers, the WIDO precleaner can provide a throughput of up to 35 wafers per hour.

16577611647569.png


DIDO pre-cleaning stand-alone equipment

The second configuration is the new DIDO pre-clean stand-alone unit with four load ports and a smaller footprint than the WIDO pre-clean unit for customers whose CMP lines have a built-in cleaning chamber to keep outgoing wafers dry good. In this configuration, wafers are manually transferred through the load port into the pre-cleaning equipment and then subjected to the same processing as in the WIDO pre-cleaning equipment. DIDO pre-cleaning equipment is available in four- or six-chamber configurations, with two soft brushes and two cleaning chambers or two soft brushes and four cleaning chambers, respectively. DIDO pre-cleaning equipment can achieve the same metal contamination cleaning effect as WIDO pre-cleaning equipment. And when using the six-chamber equipment, the production capacity can reach 60 wafers per hour.

16577611861357.png


WIDO offline pre-cleaning equipment

The third available configuration is the WIDO off-line pre-cleaning facility for smaller fab footprints. When using this equipment, the wet wafers coming out of the CMP equipment need to be transferred to DIW and manually transferred to the WIDO offline pre-cleaning equipment. Using the same cleaning process, the same particle cleaning performance can be achieved, and the throughput can reach per hour. 60 wafers.

Please, Log in or Register to view URLs content!
 

tonyget

Senior Member
Registered Member
Please, Log in or Register to view URLs content!

Dutch Confirm Talks With US on Chipmaking-Gear Ban on China​


  • Dutch foreign minister says two countries discussed the issue
  • US wants ASML to stop selling immersion lithography to China
Dutch Foreign Minister Wopke Hoekstra

Dutch Foreign Minister Wopke Hoekstra
Photographer: Peter Boer/Bloomberg

The Dutch foreign minister confirmed that the Netherlands and the US are holding discussions on blocking
Please, Log in or Register to view URLs content!
from selling to China technology used in making a large chunk of the world’s chips.

“What I can tell you is that it does make sense that you always liaise with friends when certain goods have broader strategic implications and ramifications across the globe,” Foreign Minister Wopke Hoekstra said in a wide-ranging interview in The Hague on Wednesday with a select group of journalists. “Of course you have conversations about that, and that we do.”

American officials are lobbying their Dutch counterparts to bar ASML from selling some of its older deep ultraviolet lithography, or DUV, systems, Bloomberg reported last week, citing people familiar with the matter. These machines are a generation behind cutting-edge but still the most common method in making certain less-advanced chips required by cars, phones, computers and even robots.

Washington is focused on banning sales of the most advanced type of DUV technology, immersion lithography machines, the people said.

The Dutch government, which faces pressure from US officials to expand an existing moratorium on the sale of such systems to the Asian nation, has yet to agree to any additional restrictions. China is the Netherlands’s third-biggest trade partner after Germany and Belgium.

ASML, on the other hand, opposes a ban on sales of DUV lithography equipment to Chinese customers because it is already a mature technology, Peter Wennink, the company’s chief executive officer, said earlier this year. Chinese-based facilities, run by either domestic or foreign companies, account for 14.7% of ASML’s total revenue in 2021, according to company disclosures and data compiled by Bloomberg.

ASML is the world’s top maker of lithography systems, machines that perform a crucial step in the process of creating semiconductors. ASML’s dominance of the market for that type of equipment means that further cutting China off from access to its products would undermine the Asian country’s ambitions to make itself more self-sufficient in production of the crucial electronic components.

Tensions have heightened in recent years between the US and China, the world top two economies. The US has accused China of human rights abuses in its far western Xinjiang region.

Commenting on the Dutch government’s stance on alleged human rights abuses in China, Hoekstra said he is “very worried about many of the news reports.”

“That’s been something I’ve been voicing and many of my colleagues have been voicing vis-a-vis the Chinese,” he said.
China has been accused of running a state-sponsored forced-labor program in Xinjiang under the guise of anti-poverty efforts, sending as many as 1 million Uyghurs to so-called re-education camps. China has repeatedly denied mistreatment of the Uyghurs and says crackdowns in Hong Kong are to prevent insurrection.
 

ansy1968

Brigadier
Registered Member
Please, Log in or Register to view URLs content!

Dutch Confirm Talks With US on Chipmaking-Gear Ban on China​


  • Dutch foreign minister says two countries discussed the issue
  • US wants ASML to stop selling immersion lithography to China
Dutch Foreign Minister Wopke Hoekstra

Dutch Foreign Minister Wopke Hoekstra
Photographer: Peter Boer/Bloomberg

The Dutch foreign minister confirmed that the Netherlands and the US are holding discussions on blocking
Please, Log in or Register to view URLs content!
from selling to China technology used in making a large chunk of the world’s chips.

“What I can tell you is that it does make sense that you always liaise with friends when certain goods have broader strategic implications and ramifications across the globe,” Foreign Minister Wopke Hoekstra said in a wide-ranging interview in The Hague on Wednesday with a select group of journalists. “Of course you have conversations about that, and that we do.”

American officials are lobbying their Dutch counterparts to bar ASML from selling some of its older deep ultraviolet lithography, or DUV, systems, Bloomberg reported last week, citing people familiar with the matter. These machines are a generation behind cutting-edge but still the most common method in making certain less-advanced chips required by cars, phones, computers and even robots.

Washington is focused on banning sales of the most advanced type of DUV technology, immersion lithography machines, the people said.

The Dutch government, which faces pressure from US officials to expand an existing moratorium on the sale of such systems to the Asian nation, has yet to agree to any additional restrictions. China is the Netherlands’s third-biggest trade partner after Germany and Belgium.

ASML, on the other hand, opposes a ban on sales of DUV lithography equipment to Chinese customers because it is already a mature technology, Peter Wennink, the company’s chief executive officer, said earlier this year. Chinese-based facilities, run by either domestic or foreign companies, account for 14.7% of ASML’s total revenue in 2021, according to company disclosures and data compiled by Bloomberg.

ASML is the world’s top maker of lithography systems, machines that perform a crucial step in the process of creating semiconductors. ASML’s dominance of the market for that type of equipment means that further cutting China off from access to its products would undermine the Asian country’s ambitions to make itself more self-sufficient in production of the crucial electronic components.

Tensions have heightened in recent years between the US and China, the world top two economies. The US has accused China of human rights abuses in its far western Xinjiang region.

Commenting on the Dutch government’s stance on alleged human rights abuses in China, Hoekstra said he is “very worried about many of the news reports.”

“That’s been something I’ve been voicing and many of my colleagues have been voicing vis-a-vis the Chinese,” he said.
China has been accused of running a state-sponsored forced-labor program in Xinjiang under the guise of anti-poverty efforts, sending as many as 1 million Uyghurs to so-called re-education camps. China has repeatedly denied mistreatment of the Uyghurs and says crackdowns in Hong Kong are to prevent insurrection.
With SMEE SSA800 DUVL on the horizon, well good luck ASML your gov't policy seemed like an oxymoron...lol
 

tokenanalyst

Brigadier
Registered Member

Shanghai Jingce Semiconductor's (pmish-tech) optical critical dimension measurement equipment has been verified by many customers and has been fully put into use​


According to micronet news, recently, Shanghai Jingce Semiconductor Optical Critical Dimension (OCD) measurement equipment EPROFILE 300FD passed the key customer's 28nm process verification again, successfully entered the mass production line and put it into full use, and was recognized by many customers.

16577300635306.png


Source: Shanghai Jingce Semiconductor

It is reported that optical critical dimension (OCD) measurement equipment is a key equipment necessary for advanced semiconductor manufacturing processes. Such equipment faces many challenges such as difficult technical development, high product application modeling complexity, and long process verification cycles.

According to the official news of Shanghai Jingce Semiconductor, since its establishment in 2018, relying on its subsidiary Yiguang Technology’s nearly ten years of technology accumulation in this field, Shanghai Jingce Semiconductor has gathered technical experts from all walks of life in the industry, and successively completed equipment, hardware systems, A number of difficult technical breakthroughs including software algorithms, stability dynamic compensation, online matching calibration, process applications, etc., have been developed, and a domestic unique optical critical dimension (OCD) measurement equipment with completely independent intellectual property rights has been developed. The core components of the equipment - RCRC full Muller ellipsometry probe, high-stability complete machine platform and industrial-grade electromagnetic field simulation platform software have all achieved independent localization. (Proofreading / Proofreading)

1657807305122.png

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top