Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member
Tonyget are you there? Via Vincent progress is being made in localization but it will take sometime to be completely independent from foreign sources. Why is the low rate penetration of ion implanter?
Please, Log in or Register to view URLs content!
How is the progress of localization of semiconductor equipment?

According to the statistics of Weiwang, in April 2022, the number of winning bids for etching equipment in mainland China is 36, of which 26 are domestically produced, namely 14 from North Huachuang and 12 from China Micro.

View attachment 90114

Comment 1:

Obviously, NAURA is strong in the fields of silicon etching and metal etching, while China Micro is leading in dielectric etching, and the respective technical advantages of both parties are quite distinct. In fact, on the whole, there are many types of equipment in the north, and the coverage is wider; the advanced level of Zhongwei is higher. Look forward to the two becoming China's Applied Materials and ASML as soon as possible.

Comment 2:

Not only the etching machine, but the localization data of semiconductor equipment in April is generally quite good: in April, major domestic semiconductor manufacturers purchased 108 equipment, and mainland Chinese manufacturers made a total of 67 equipment, accounting for 62%. Of course, this is closely related to the hot production capacity in the first quarter and the concentrated launch of large-scale production expansion projects.

Comment 3:

From a conservative point of view, April is only a one-month node after all, and the trend depends on the medium and long term, at least 3 months. So what is the situation?

From January to April 2022, major domestic fabs purchased a total of 401 semiconductor equipment, including 97 domestic equipment, and the localization rate is about 24.2% - this is a relatively more realistic total.


From the perspective of long-term data, the current localization rate of etching machines is 20%, cleaning machines 30%, diffusion heat treatment 20%, and CMP 20%, which are all considered high. 90% of the glue remover....The reason everyone knows. The low ones are still 5% for gluing and developing, 5% for thin film deposition equipment, and less than 1% for ion implanters. As for the front lithography machine... not to mention.

Review 4:

It also needs to be viewed from the front. For example, when looking at the data, we should also pay attention to one thing: the proportion of stock or the proportion of incremental.

Because the market stock is too large, even if the speed of localization increases, the incremental proportion is good, and the stock is still very low for a long time. For example, the stock of high-end ion implanters accounted for less than 1%, but in April alone it reached 38.9%. The difference is huge.

The proportion of stock is still low, and the proportion of incremental is gradually rising. And the individual differences are very large: some reach 3nm, most of them reach 14-28nm steadily, and a few have not yet come out of bed.

The former is like this, the latter is slightly better than the former, and the detection is slightly worse than the former.

This is the truer story of the localization of China's semiconductor equipment.
In the case Ion implanters or some lithography machines or equipment recently develop is difficult to quantify because this are public bids, they say nothing about purchase agreements that fabs made. I Know that Wanye Enterprise and CTEC have been shipping ion implanters of various types.

Please, Log in or Register to view URLs content!

Keystone (kingstone semi), a subsidiary of Wanye Enterprise, delivered the first equipment of new orders to customers​

Please, Log in or Register to view URLs content!

The order for 12 ion implanters of Zhongkexin has been signed​

Suddenly, like a spring breeze, thousands of trees and pears bloom. After a snowstorm, the electrical equipment was put into work in a state of "full power", and the good news ahead continued one after another. Shuoke Zhongkexin started the struggle mode of the Year of the Tiger. In the new year, orders for 12 ion implanters have been signed.
In the next step, the ion implanter team will continue to work towards the future with the drive of "tiger tigers grow strong and vigorous and resolute" and "tough tigers add wings and soar to the world". The Twenty Victories were held.

Please, Log in or Register to view URLs content!

中电科电子装备集团有限公司-中电科电子装备集团有限公司(以下简称“公司”)成立于2013年,是在中国电子科技集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子科技集团公司独资公司,注册资金24.5亿元,注册地为北京市丰台科技园。 中电科电子装备集团有限公司(以下简称“公司”)成立于2013年,是在中国电子科技集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子科技集团公司独资公司,注册资金24.5亿元,注册地为北京市丰台科技园。
Please, Log in or Register to view URLs content!
 

FairAndUnbiased

Brigadier
Registered Member
A bit out of topic but folks who are working in semiconductor industry, do you have any picture or video that shows what it looks like inside chemical plant that manufacture chemical for semiconductor?
There was a time when I was thinking to enroll in Chemical engineering major. So I still Harbour curiosity to chemical industry in general m
Depends on what you actually want. Precursors/etch gas is different than photo resist is different than UHP liquids.
 

FairAndUnbiased

Brigadier
Registered Member
Anything will do. It's only a curiosity of mine.
https%253A%252F%252Fs3-ap-northeast-1.amazonaws.com%252Fpsh-ex-ftnikkei-3937bb4%252Fimages%252F2%252F9%252F1%252F2%252F38842192-3-eng-GB%252FCropped-1644457390photo_SXM2022020600005239.jpg

Please, Log in or Register to view URLs content!
 

FairAndUnbiased

Brigadier
Registered Member
In the case Ion implanters or some lithography machines or equipment recently develop is difficult to quantify because this are public bids, they say nothing about purchase agreements that fabs made. I Know that Wanye Enterprise and CTEC have been shipping ion implanters of various types.

Please, Log in or Register to view URLs content!

Keystone (kingstone semi), a subsidiary of Wanye Enterprise, delivered the first equipment of new orders to customers​

Please, Log in or Register to view URLs content!

The order for 12 ion implanters of Zhongkexin has been signed​

Suddenly, like a spring breeze, thousands of trees and pears bloom. After a snowstorm, the electrical equipment was put into work in a state of "full power", and the good news ahead continued one after another. Shuoke Zhongkexin started the struggle mode of the Year of the Tiger. In the new year, orders for 12 ion implanters have been signed.
In the next step, the ion implanter team will continue to work towards the future with the drive of "tiger tigers grow strong and vigorous and resolute" and "tough tigers add wings and soar to the world". The Twenty Victories were held.

Please, Log in or Register to view URLs content!

中电科电子装备集团有限公司-中电科电子装备集团有限公司(以下简称“公司”)成立于2013年,是在中国电子科技集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子科技集团公司独资公司,注册资金24.5亿元,注册地为北京市丰台科技园。 中电科电子装备集团有限公司(以下简称“公司”)成立于2013年,是在中国电子科技集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子科技集团公司独资公司,注册资金24.5亿元,注册地为北京市丰台科技园。
Please, Log in or Register to view URLs content!
One thing I find amusing: 凯世通 sounds like klystron, a microwave RF component of an ion implanter. So you instantly remember what Kingstone actually makes.
 

ZeEa5KPul

Colonel
Registered Member
Most of the stuff here is above my level of comprehension. I am not sure if there has been some discussion about China's investment into chiplet technology to get to 7nm/5nm capability or maybe people were using the term "stacking" here. Here is an article on yahoo via scmp about it

Please, Log in or Register to view URLs content!
Wei Shaojun, a professor at Tsinghua University and a leading expert on microelectronics, said chiplet technology was "complementary" to advanced chipmaking processes, not a replacement for it.
That's the bottom line here. It's good that China's taking the lead here and this technology will only grow in importance going forward (there's much, much more potential in making 3D chips than ever smaller and more intricate 2D patterns), but China will still need to get to the cutting edge in all semiconductor design and manufacturing processes.

These popular articles are near worthless in determining the state of play, however:
meaning China will lag behind leading fabs such as Taiwan Semiconductor Manufacturing Co (TSMC) for the foreseeable future.
Depends on what the definition of "foreseeable" is, no? For people who write for the likes of SCMP, a couple of years is not foreseeable.
 

tokenanalyst

Brigadier
Registered Member
Most of the stuff here is above my level of comprehension. I am not sure if there has been some discussion about China's investment into chiplet technology to get to 7nm/5nm capability or maybe people were using the term "stacking" here. Here is an article on yahoo via scmp about it

Please, Log in or Register to view URLs content!
Like most articles from SCMP, a lot of words that say absolutely nothing. It makes you wonder if they hire robots to write their articles.

Chiplets or advance packaging (2.5D,3D, heterogenous integration) is a form of connecting chip dies so close to each other that basically behave like a single chips, of course the main benefit is that you can get more processing power or more "application power" (but that will depend on the architecture and design) without the need of going to more expensive cutting edge nodes that are getting exponentially expensive or are not accessible like in the case of Huawei.

1654377876192.png

The biggest drawback is that they always are going to consume more power than a similar chip made with a cutting edge node so they are best suited to always power on situations like AI, Servers, Cloud computing, 5G stations and so on. BUT that will depends, if someone can put a entire motherboard in a single Chiplet my guess it will consume much less power for doing the same application than an entire PCB.
Companies like Huawei are researching advance packaging for those reasons and also because China is somehow strong in packaging. JCET by example is huge in packaging, SMEE made a living selling advance packaging lithography machines.

1654377416795.png1654377454962.png1654377540706.png


SMEE SSB520 a Wide Area-high resolution lithography machine for advance packaging. (3D, 2.5D, heterogenous integration)
1654378458215.png



China EDA companies are seeing the writing in the wall that advance packaging is going to become a dominant force in semiconductors in the years to come and they are starting to position themselves to take advantage and more in the current geopolitical situation.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!

Finally just because Chinese companies usually join standards after they evaluate the value of it, when RISC-V started in 2015 only two or three Chinese companies joined but now they make the majority of the companies. Already Verisilicon joined the standard.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
Zhongshan Kaimei Electronics Co., Ltd. is a high-tech enterprise specializing in the research, development and manufacture of RF power supplies, RF automatic matching devices, and gas mass flow controllers. The company has a number of experienced software and hardware engineers, advanced testing system and perfect production quality control system, fast and convenient after-sales service system.

We have been focusing on the field of RF and MFC. After several years of continuous R&D investment and technological innovation, we have grown into one of the most powerful RF and MFC R&D and manufacturing companies in China. In the field of low-power RF and MFC, the technical level of our products is close to that of foreign imported brands, and has been widely used in semiconductor, solar energy, vacuum coating, ICP spectroscopy and other industrial equipment. In the field of vacuum plasma equipment, we have cooperated with domestic Several top equipment manufacturers have established long-term and stable cooperation.

The company always adheres to the business philosophy of "integrity-based, quality wins", relies on technological innovation to drive product progress, and relies on talent advantages to drive the company's development. We will continue to focus on RF and MFC fields, continuously increase R&D investment, and provide more Excellent products to customers, with the most cost-effective products to meet the needs of different customers.

1654388696474.png

Please, Log in or Register to view URLs content!
 

ansy1968

Brigadier
Registered Member
Pugnacious nation equates the BEAR, now the BEAR need to eat and the new Honey is the Harmony OS and the taste was so good...lol Okay from here on in we may see different countries having their own apps store instead of relying in Google and Apple. Tech globalization had ended thanks to MAGA Trump and Invisible Brandon may your tribe increase to benefit us all...lol

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!


Please, Log in or Register to view URLs content!

68 views31 minutes ago

In terms of fighting, Russia is known as the "Pugnacious nation" because they have always refused to admit defeat. After the outbreak of the Russia-Ukraine conflict, the United States pulled together a group of allies and began a series of sanctions against Russia. YouTube and Google Play suspended all payment-based services, including subscriptions, in Russia this month amid Western sanctions. With this in mind, Russia rescued itself and fought back very quickly. At first, Russia tested the HarmonyOS system. Now, Russia has made a further move, that is, to develop its own app store. Russia has even launched an implementation plan that has landed on the market. It is said that the Russian self-developed application store is to replace Google's Play Store.
 
Status
Not open for further replies.
Top