Chinese semiconductor industry

Status
Not open for further replies.

european_guy

Junior Member
Registered Member
From the article:

"the products of Applied Materials, Lam Research and KLA in an attempt to identify workable export controls under which less advanced tools that are no use for cutting-edge manufacturing might still be sold to China, while more advanced tools would still be prohibited"

This won't work because less advanced tools from the American trio are already almost fully localized (etchers, CVD yes for sure)....and hence plan B:

"The proposal hinges on getting America’s allies—in particular Japan and the Netherlands, home to Tokyo Electron and ASML—to enforce the same export controls on their toolmakers."

They realized that if Japan end Europe keep selling their equipment, US alone can't get through it. In some ways it resembles what happened with Huawei, where after more than 1 year trying, at the end only forcing TSMC, a non US company, to stop producing for Huawei, proved effective.

I foresee that in 2 years time, by 2024 / 2025, Chinese manufacturers will have mostly close the gap in etching, cvd, wet processing, thermal processing.

I foresee that in 2 years time only state of the art lithography will remain outside of Chinese reach. Indeed the gap will widen compared to today because a bigger share of advanced production will rely on EUV, and ASML will manufacture its second generation high NA EXE:5200 EUV machine.

So

1. For whatever SMEE litho machine will be available in 2025, Chinese manufacturers will be able to build a fully localized FAB around it.

2. The gap between state-of-the-art FAB and Chinese FAB will be wider than today. IOW the technological gap between SMIC and TSMC will be wider than today, because TSMC will possibly use EXE:5200, while SMIC cannot improve due to limitations in acquiring advanced litho machines.

3. For 2024/2025 the only way US can block China development is on forcing ASML. Limiting exports of any other Japanese or American manufacturer will be mostly ineffective.
 

antiterror13

Brigadier
I foresee that in 2 years time, by 2024 / 2025, Chinese manufacturers will have mostly close the gap in etching, cvd, wet processing, thermal processing.

I foresee that in 2 years time only state of the art lithography will remain outside of Chinese reach. Indeed the gap will widen compared to today because a bigger share of advanced production will rely on EUV, and ASML will manufacture its second generation high NA EXE:5200 EUV machine.

So

1. For whatever SMEE litho machine will be available in 2025, Chinese manufacturers will be able to build a fully localized FAB around it.

2. The gap between state-of-the-art FAB and Chinese FAB will be wider than today. IOW the technological gap between SMIC and TSMC will be wider than today, because TSMC will possibly use EXE:5200, while SMIC cannot improve due to limitations in acquiring advanced litho machines.

3. For 2024/2025 the only way US can block China development is on forcing ASML. Limiting exports of any other Japanese or American manufacturer will be mostly ineffective.

Interesting prediction, but it seems logical to me, how about in 2025 to 2030 ? which I 'd predict China would be able to crack EUV, possibly with revolutionary SSMB light source a few yrs later
 

european_guy

Junior Member
Registered Member
Interesting prediction, but it seems logical to me, how about in 2025 to 2030 ? which I 'd predict China would be able to crack EUV, possibly with revolutionary SSMB light source a few yrs later

IMO this is too far in the future, impossible to predict. Too many variables and unknowns, including big geopolitical ones.

Maybe it could be said that whichever will be the reality at the end of this decade in 2030, it will shape the future for a big part of the remaining century. IOW from 2025 to 2030 big powers will possibly play the match of the century. Methinks they will be very difficult years.
 

pbd456

Junior Member
Registered Member
ASML has said that memory makers have ordered EUV for their critical layers. How will it affect YMTC? How dense can the memory layer get without EUV if the overlay accuracy for the DUV can be as low as 2nm?
 

ansy1968

Brigadier
Registered Member
Interesting prediction, but it seems logical to me, how about in 2025 to 2030 ? which I 'd predict China would be able to crack EUV, possibly with revolutionary SSMB light source a few yrs later
@antiterror13 Sir from @Oldschool previous post by 2023/24 IF SMEE is able to produced an improve iteration variant the Mythical SSA900 22nm DUVL even IF without an EUVL( which is a luxury), China can able to stay a generation behind with a 7nm 3D chiplet or a 5nm (DUVL multi patterning) Chip. We have just achieved a milestone in SSA800 DUVL , a foot in door so to speak in achieving self sufficiency.

Please, Log in or Register to view URLs content!




22nm lithography machine completed independent production, a historic moment in China. 2022-04-20 19:13 HKT. Lead. As China's technological development was ...
Missing: duval ‎| Must include:
Please, Log in or Register to view URLs content!

You've visited this page 2 times. Last visit: 2/7/22
 

hvpc

Junior Member
Registered Member
ASML has said that memory makers have ordered EUV for their critical layers. How will it affect YMTC? How dense can the memory layer get without EUV if the overlay accuracy for the DUV can be as low as 2nm?
I think you will find answer to your question from same ASML quarterly report presentation. Their investor day material from last year has lots of info.

3D-NAND fabs including YMTC will not need EUVL for at least the next 3 maybe 4 generations. Bit growth for 3D-NAND comes about not through 2D-shrink of feature size but through more stacks or "memory layers".
 

Topazchen

Junior Member
Registered Member
There's an assumption that journalists do their due diligence in writing articles. They make sure to dot their "i"s and cross their "t"s. No they don't. It's like what I've noted before in this forum where there was a story that said Chinese were buying up French vineyards that outraged the French. Multiple other articles afterwards said the same things. One wine journalist was going to write a story too but then decided he was going to fly to France to see himself if this were happening. He found out nothing of the sort was happening. So it only takes one lying journalist to make up a story and then other journalists will write stories themselves based that original article without ever checking to see if it was true because they all wanted to believe it. My question is how do they think the US can stop China just from stopping the West from selling the tools to China? How did they come up with these tools themselves? It's because they're going on the assumption only white people with the superior genetic intelligence can create these tools. Why is there such a thing as intellectual property? It's because it's the only thing out there that prevents someone from copying that technology for themselves. If something like that could be kept secret and prevent others from copying, you wouldn't need intellectual property rights. In order for someone to claim intellectual property, they have to show how it's done in order to establish in a society of laws that someone used the same exact methods and copied it. There's not only one way to do things in most things. So if someone finds another way of doing something, they can't claim IP theft. Google came up with what is said to be the beginnings of a quantum computer. Then China came up with their own equivalent but it uses a totally new different system that uses lasers and mirrors. Just because Google came up with a quantum computer first, does it mean they hold the intellectual property to anything called a quantum computer and China stole it from them even though it's an entirely different process? There's no byline on this article so it's safe to assume it was written by editors of the Economist that know nothing about science and engineering and are going by what many Westerners want to believe that it's about genetic superiority to believe China has to get the tools from them in order to deny them and not just the Chinese can come up with it on their own. If that were the case, why are they worried about China's rise in technology if inferior genetics will stop the Chinese on its own?

Remember when China started to develop aircraft carriers, the West said it takes 40 years to master aircraft carriers so China shouldn't bother. Was it because the West were good fellas giving China sage advice? No, they were just trying to stop China from building aircraft carriers.
" Over the past four months the American toolmakers have started working with the government, through Akin Gump, a firm of lawyers and lobbyists based in Washington, DC, to find a way round the problem. The toolmakers formed the Coalition of Semiconductor Equipment Manufacturers late last year to further those aims, hiring Akin Gump to represent them. Lawyers have been poring over the products of Applied Materials, Lam Research and KLA in an attempt to identify workable export controls under which less advanced tools that are no use for cutting-edge manufacturing might still be sold to China, while more advanced tools would still be prohibited. That would allow the toolmakers to keep a portion of their Chinese revenues"
China to the West is a cow that should be milked..
 

ZeEa5KPul

Colonel
Registered Member
2. The gap between state-of-the-art FAB and Chinese FAB will be wider than today. IOW the technological gap between SMIC and TSMC will be wider than today, because TSMC will possibly use EXE:5200, while SMIC cannot improve due to limitations in acquiring advanced litho machines.
Who told you China wouldn't have its own EUV lithography machine by then?
 

tokenanalyst

Brigadier
Registered Member
I foresee that in 2 years time, by 2024 / 2025, Chinese manufacturers will have mostly close the gap in etching, cvd, wet processing, thermal processing.

I foresee that in 2 years time only state of the art lithography will remain outside of Chinese reach. Indeed the gap will widen compared to today because a bigger share of advanced production will rely on EUV, and ASML will manufacture its second generation high NA EXE:5200 EUV machine.

So

1. For whatever SMEE litho machine will be available in 2025, Chinese manufacturers will be able to build a fully localized FAB around it.

2. The gap between state-of-the-art FAB and Chinese FAB will be wider than today. IOW the technological gap between SMIC and TSMC will be wider than today, because TSMC will possibly use EXE:5200, while SMIC cannot improve due to limitations in acquiring advanced litho machines.

3. For 2024/2025 the only way US can block China development is on forcing ASML. Limiting exports of any other Japanese or American manufacturer will be mostly ineffective.
I think once China give a hint that they have even a prototype of an EUV scanner (SSMB or Plasma, doesn't matter), ASML will step up the pressure in Europe to be allowed to sell their previous generation of their EUV tools in China again. Being in a niche market makes ASML very sensitive to sales. With the mass production of immersion subsystems and machines around the corner it could be worse if the government introduce a quote of SMEE machines for new fabs with government money.
 

ansy1968

Brigadier
Registered Member
I foresee that in 2 years time, by 2024 / 2025, Chinese manufacturers will have mostly close the gap in etching, cvd, wet processing, thermal processing.

I foresee that in 2 years time only state of the art lithography will remain outside of Chinese reach. Indeed the gap will widen compared to today because a bigger share of advanced production will rely on EUV, and ASML will manufacture its second generation high NA EXE:5200 EUV machine.
@european_guy Costing twice the amount of current EUVL at $350 -400 million each with the power source contributing 30% of the cost ($105-120 million), good luck and congratulation for any FAB buying it....lol Like you said 2024/2025 is the Timeline of a Chinese EUVL, IF a single Chinese SSMB costing $170 million powering multiple EUVL ( 10 or more), cost wise it will be marginal cheaper to operate compare to the latest ASML machine.
 
Last edited:
Status
Not open for further replies.
Top