Chinese semiconductor thread II

Quan8410

Junior Member
Registered Member
I just pray that US just do a blanket ban which forbid all chips and tools coming to China to see who is the one to survive. The new ban is just the same trick with more names.
 

tokenanalyst

Brigadier
Registered Member

Lithography mask thermal and stress effect for the machine overlay compensation.​


With the continuous advancement of integrated circuit technology nodes, the degree of chip integration is increasing, and the requirements for critical dimensions and overlay errors are becoming more and more stringent. In recent years, near-field lithography technologies such as nanoimprint lithography and plasmonic lithography have made rapid progress; however, the challenge of compensating for their overlay has yet to be solved or systematic reports are lacking. This work offers an overlay compensation approach based on the theory of overlay and mask stress mechanics and thermodynamics by providing stress to the mask. The overlay analysis model and correction feedback mechanism based on mask compensation technology is carried out theoretically. This work establishes the relationship between the overlay compensation parameters and the mask stress by using strict calculation methods, quantitative characterization, and combining sensitivity analysis methods. Theoretical verification of various overlay distribution patterns demonstrates the efficiency of this feedback compensation strategy as well as the quantitative analytical calculation. It is verified that, under ideal conditions, the feedback technique may minimize the overlay error caused by mask thermal effects to ∼1.5 nm. This research presents a quantitative control mechanism for reducing overlay for near-field lithography, and it has substantial guiding implications for traditional lithography quantitative research on the influence of mask stress or temperature on overlay.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
I just pray that US just do a blanket ban which forbid all chips and tools coming to China to see who is the one to survive. The new ban is just the same trick with more names.
That would be absolutely horrible for US companies and the semiconductor industry in general, half of US companies revenue come from China, so if either US order to cut or the Chinese government ban them from selling, US companies would have to downsize pretty fast, they will have to close factories, cut orders, R&D and it will pretty bad. Not to mention the crash in stock prices.
 

tokenanalyst

Brigadier
Registered Member
Yin Zhiyao said that from the perspective of equipment, he did not see any bottlenecks (in the high-end field), and there were no technical obstacles that could not be overcome. We still have to grit our teeth and patiently work on it step by step, and we will definitely do it well. "In fact, many people misunderstand that the etcher we make includes 5-nanometer etcher, 3-nanometer etcher, and 14-nanometer etcher. This is a wrong concept. In fact, we have the same design, of course there are some improvements and upgrades, and it is no problem to go from 45 nanometers to 2 nanometers. But there are some refinement processes. I don't see any technical obstacles that cannot be overcome. So again, we have to grit our teeth and patiently work on it step by step, and we will do it well."
 

FairAndUnbiased

Brigadier
Registered Member
Yin Zhiyao said that from the perspective of equipment, he did not see any bottlenecks (in the high-end field), and there were no technical obstacles that could not be overcome. We still have to grit our teeth and patiently work on it step by step, and we will definitely do it well. "In fact, many people misunderstand that the etcher we make includes 5-nanometer etcher, 3-nanometer etcher, and 14-nanometer etcher. This is a wrong concept. In fact, we have the same design, of course there are some improvements and upgrades, and it is no problem to go from 45 nanometers to 2 nanometers. But there are some refinement processes. I don't see any technical obstacles that cannot be overcome. So again, we have to grit our teeth and patiently work on it step by step, and we will do it well."

remember when I pointed out, that there's not much difference between 45 nm and 14 nm etch/deposition tools? I do.



do you remember how someone insisted that I was sooo wrong?


They should tell CEO of AMEC that he's wrong too.
 

ansy1968

Brigadier
Registered Member
It also wouldn't shock me if behind closed doors, ASML made clear that they will create de-Americanized versions of the machines for the Chinese market alone.
Isn't NXT2050i and NXT 2100i a Chinese specific machine? From what I can deduced it's a result of the non delivery of already paid EUVL.
 
Top