Chinese semiconductor industry

Status
Not open for further replies.

Quickie

Colonel
28 nm is what the machine is rated for. It is not going to do 28 nm with a single pass. In fact, no single node since 65 nm uses single pass.

The ASML EUV Lithography tool with 0.33 NA lens at a resolution of 13nm can do the 7nm node or even higher in a single exposure patterning.
 
Last edited:

hvpc

Junior Member
Registered Member
The ASML EUV Lithography tool with 0.33 NA lens at a resolution of 13nm can do the 7nm node or even higher in a single exposure patterning.
Now, you are just nit picking what @BoraTas said. :D

His main point is to debunk common misperception that 28nm node utilizes only single exposure for its most critical layer. Hence he implied multiple patterning had been adopted as TOR as far back as 45nm node.

Even though EUV SE was used by tsmc for one critical layer of 7nm node, there are still other less critical layers that utilized multiple patterning with ArFi. So, technically what @BoraTas said is still correct that no nodes since 65nm uses (only) single pass.
 

tokenanalyst

Brigadier
Registered Member

Honghu Semiconductor: Self-developed domestic wafer vacuum transfer platform, whose performance reaches the international advanced level​


It is reported that Honghu Semiconductor’s core technical team comes from well-known semiconductor wafer factories and semiconductor equipment companies, and has rich experience in semiconductor wafer manufacturing and automatic transmission equipment research and development. The company's equipment performance indicators have reached the international advanced level, and it has achieved independent research and development and technological breakthroughs in core key components and software control systems such as wafer handling robots (Robot). Honghu Semiconductor also provides customers with industry-leading handling robot maintenance and refurbishment (Overhaul) as well as vacuum process equipment and RTP and other heat treatment process equipment parts and consumables supply services.

In terms of specific products, HH-VTM is a nationally produced vacuum transmission platform independently developed, designed and manufactured by Honghu Semiconductor.

The platform integrates Honghu's self-developed high-vacuum high-speed robotic arm ROBOT, vacuum conveyor elevator VCE, and vacuum aligner VPA. The core parameter technology (ultra-high vacuum, leakage rate, speed, repeat positioning accuracy) has achieved actual measurement results of international first-line brands. standard. The core components are 100% domestically produced. The following are the product indicator highlights:

Cleanliness: Class 1

Vacuum degree: 5*10e-8Torr

Leak rate: 1*10e-8Torr.L/sec He

The customers of the HH-VTM localized wafer vacuum transfer platform include many well-known domestic thin film deposition equipment manufacturers. Since the mass production of VTM, the number of orders has reached dozens, and sales have reached tens of millions of yuan.

Honghu Semiconductor attaches great importance to research and development, with technical personnel accounting for more than 40%. In May 2023, Honghu Semiconductor successfully completed hundreds of millions of yuan in Series A+ strategic equity financing.

Looking forward to the future, Honghu Semiconductor will continue to innovate and seek new breakthroughs in the field of wafer transmission. It will conduct in-depth research on the key moving parts and advanced motion control algorithm technology of "stuck necks", and will also match the transmission technology of advanced manufacturing processes. and forward-looking layout, laying a solid foundation and contributing to the domestic semiconductor manufacturing technology that can achieve smaller process sizes in the future.

Please, Log in or Register to view URLs content!

Another company that started repairing equipment that now is in the business of semiconductor parts.​
 

Quickie

Colonel
Now, you are just nit picking what @BoraTas said. :D

His main point is to debunk common misperception that 28nm node utilizes only single exposure for its most critical layer. Hence he implied multiple patterning had been adopted as TOR as far back as 45nm node.

Even though EUV SE was used by tsmc for one critical layer of 7nm node, there are still other less critical layers that utilized multiple patterning with ArFi. So, technically what @BoraTas said is still correct that no nodes since 65nm uses (only) single pass.

I don't think I was nitpicking. We were talking specifically about the node process limit that the SA800 can go on single exposure patterning, outside of the reasons why multiple patterning (in preference of only single exposure) is still used for most layers in the actual manufacturing process.
 

tokenanalyst

Brigadier
Registered Member

Semiconductor laser company Jingfei Semiconductor completed tens of millions of angel rounds of financing​

Jingfei Semiconductor completed tens of millions of angel round financing, led by Infinite Fund SEE Fund, followed by Delian Capital and Zhongke Shenguang. This round of financing is mainly used for technology research and development, market expansion and team building.
It is reported that the Jingfei team has rich experience in laser processing, has strong technical accumulation in theoretical research on lasers and laser material bottom layers, and also has many years of experience in laser stripping processes. With its team's strong technical research capabilities, it has been recognized by many domestic leading silicon carbide substrate factories and is expected to complete process iterations in the field of slicing equipment and become a leading supplier.
Kang Qianxi of Delian Capital said that under the background of the new energy revolution, the market potential of silicon carbide power devices is huge, but cost is the key factor restricting its penetration. At the device level, the cost of silicon carbide substrates accounts for as high as 47%, and due to its material physical properties, nearly half of the material is wasted in the slicing process; the emergence of the new technology of laser stripping can significantly reduce the cost of the substrate, which is An important means to promote the penetration of silicon carbide devices.
Jingfei Semiconductor focuses on the research of laser vertical lift-off technology, aiming to achieve precise lift-off of third-generation semiconductor materials to effectively reduce the production cost of silicon carbide substrates. Compared with the traditional diamond wire cutting process, laser vertical peeling technology can complete efficient and precise material peeling while reducing damage to silicon carbide wafers, thus solving the problems of low processing speed, large loss, and high cost.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Zhonggui Optoelectronics’ nanoscale pattern wafer defect detection equipment is delivered to leading power semiconductor customers​


The nanoscale pattern wafer defect optical inspection equipment (NanoPro-150) of China Conductor Optoelectronics Equipment Co., Ltd. (referred to as "China Conductor Optoelectronics") was once again delivered to a customer, marking China Conductor Optoelectronics' entry into the semiconductor front-end pattern wafer inspection equipment market. Make strides forward on the road of transformation.
1700485234361.png
Front-end pattern wafer inspection equipment is one of the core equipment in the semiconductor chip manufacturing process. The technical threshold is high and difficult, and it is the main battlefield for inspection equipment. The NanoPro-150 product delivered to users this time has a nanometer-level sensitivity, which fully meets the manufacturing process requirements of semiconductor chip production lines of 90nm and above. The equipment delivered this time will be used in the mass production lines of leading domestic power semiconductor companies. In addition, this model of equipment has been used in long-term mass production in the production lines of well-known power semiconductor companies, indicating that this product is particularly favored and welcomed by power semiconductor companies.

1700485262686.png
NanoPro-150 is a high-end product in the NanoPro-1XX series. In addition to being similar in main performance parameters such as sensitivity and detection speed to similar products from top international companies, NanoPro-150 has also developed and introduced many advanced AI algorithms and software to further improve the confidence level. The noise ratio significantly improves the defect detection sensitivity and detection rate of the equipment in key processes. At the same time, this product realizes the localization and independent control of key core components of precision optoelectronics, laying a solid foundation for mass production and stable production of products. ‍‍‍‍‍‍‍‍​

Please, Log in or Register to view URLs content!
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Another SiC project

Please, Log in or Register to view URLs content!
集芯先进 starting project for 150k wpy of Sic Substrate with production equipment moving in. Will produce both 6 and 8-inch wafers

Project had capex of 5B RMB

China's SiC substrate capacity is really quite impressive.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
some news from Innoscience

Opened up its global research and development center in Jiangsu
Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!

project is for more 8-inch GaN-on-Si production


Innoscience also signed contract with Agricultural bank of china for 1.3B RMB for expansion plan over next 2 years
Innosicence hit 300m GaN chip sales in August

As of August, Innoscience already reached 15k wpm
Please, Log in or Register to view URLs content!
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Please, Log in or Register to view URLs content!

Nexchip has now taped out 40nm OLED driver chip

28nm product still in development

Currently at 110k wpm
plan to increase another 5k rpm of 55nm capacity

110nm DDIC achieved AEC-Q100 auto grade in march
In May, passed customer's 12.8-inch auto display testing

In Q4, will have up to 1k wpm 12-inch 40nm HV production
no desire to add 28nm HV production this year

It will concentrate on foundry work for 150 & 90nm HV process right now and add more improvement in 55nm HV
 
Status
Not open for further replies.
Top