Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member

Microguided Nano (LeadMicro): Domestic substitution of domestic ALD equipment leader helps to improve long-term performance.​


ALD equipment leading company, photovoltaic and semiconductor businesses grow together. The company was founded in 2015. Its core business is the research and development and application of advanced micro-nano thin film deposition equipment. Its main product, ALD equipment, can be widely used in downstream photovoltaics, semiconductors, flexible electronics and other fields. In December 2022, the company was listed on the Science and Technology Innovation Board. In terms of performance, from 2018 to 2022, the company's revenue increased from 42 million yuan to 685 million yuan, with a compound annual growth rate of 101.0%. In the first half of 2023, the company's revenue was 382 million yuan, a year-on-year increase of 145.5%. The company's performance increased The momentum is strong. In addition, the company's IPO investment project is expected to add an annual production capacity of 120 units of ALD equipment in the photovoltaic and flexible electronics fields and an annual production of 40 units of semiconductor ALD equipment, helping the company's performance continue to grow rapidly.

Please, Log in or Register to view URLs content!

 

tinrobert

Junior Member
Registered Member
Agree and make sense from a investor viewpoint. It make no sense scaring ASML-Nikon investors with something that they can't see or measure its performance.

But SMEE is a lithography company, that is what they sell and packaging lithography is still lithography.
Packaging lithography is very different from fron-end lithography. There are only 3 front end lithography companies - ASML, Nikon, and Canon. On the packaging side, there is Ultratech, EV Group, and Suss, Onto, and others. You can read an article I wrote on this in 2019. Rudolph is now Onto.
Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
Packaging lithography is very different from fron-end lithography. There are only 3 front end lithography companies - ASML, Nikon, and Canon. On the packaging side, there is Ultratech, EV Group, and Suss, Onto, and others. You can read an article I wrote on this in 2019. Rudolph is now Onto.
Please, Log in or Register to view URLs content!
Yeah we all can agree that the excimer lasers powered step and scan machines for frontend lithography are way different from the UV lamp powered steppers used in the advance packaging industry, but they shared some similarities. Both use projections optics, both use air wafer stages, interferometers and overlay technologies. Albeit packaging scanners have much less resolution and much less accuracy.
 

FairAndUnbiased

Brigadier
Registered Member
Yeah we all can agree that the excimer lasers powered step and scan machines for frontend lithography are way different from the UV lamp powered steppers used in the advance packaging industry, but they shared some similarities. Both use projections optics, both use air wafer stages, interferometers and overlay technologies. Albeit packaging scanners have much less resolution and much less accuracy.
I think overlay accuracy for packaging lithography is lower. Not sure if even with the same light source packaging lithography tools can be used. Like what about 350 nm microprocessors, can they be made on i-line tools?
 

tonyget

Senior Member
Registered Member
TechInsights has discovered the world's most advanced 3D NAND memory chip in a consumer device, and in a surprise technology leap, it comes from YMTC – China’s top 3D NAND manufacturer.
Please, Log in or Register to view URLs content!

What is "active word lines" ?
This is the first quad-level cell (QLC) 3D NAND die with more than two hundred active word lines that TechInsights has seen.
 

tokenanalyst

Brigadier
Registered Member
I think overlay accuracy for packaging lithography is lower. Not sure if even with the same light source packaging lithography tools can be used. Like what about 350 nm microprocessors, can they be made on i-line tools?
For projection steppers I think they use the same mercury vapor lamps but frontend I-line scanners their field I think is too small for packaging and also is too expensive for packaging because these scanners use pretty sophisticated systems to archive high accuracy, In the case of ASML is less than 20nm.

For packaging the overlay accuracy is lower, about 50-100nm and also the NA of the optics is lower because a wider image is more important in packaging than a very high resolution. The recently launched SSB520 packaging lithography stepper has a resolution of less than 600nm and accuracy of 100nm but the field is like 4 times the standard IC size. Canon Packaging Steppers are pretty similar.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
very interesting
so QLC - quad layer cells
TLC - tri layer cells

So QLC - 4 bits per cell
TLC - 3 bits per cell

To me that would imply similar # of levels with QLC would have high bit density than TLC.

YMTC just seems so much more capable than CXMT

product info
Please, Log in or Register to view URLs content!
read 7000MB/s
write 6000MB/s

(does anyone know what these are?)
4k read 900KIOPS
4Kwrite 700KIOPS
 
Status
Not open for further replies.
Top