Chinese semiconductor industry

Status
Not open for further replies.

tokenanalyst

Brigadier
Registered Member

North Huachuang (002371): There is a wide space for domestic substitution of leading semiconductor equipment​


  equipment leaders is prominent Covering the fields of semiconductor equipment, vacuum equipment, new energy lithium battery equipment and precision components, the company has gradually grown into a leading domestic semiconductor equipment platform supplier in recent years.

  The semiconductor equipment and electronic components business accounted for 71% and 25% of the company's revenue in the first half of 2022, respectively, and are the company's core revenue sources. In recent years, the semiconductor equipment business has benefited from the rapid development of the industry and the outbreak of domestic substitution demand, and the electronic components business has benefited from the demand for downstream aerospace and other high-tech application fields. Both have achieved rapid growth. In the first half of 2022, revenues were It was 3.87 billion yuan and 1.33 billion yuan, a year-on-year increase of 55.1% and 72.5% respectively. The company's overall revenue in the first three quarters of 2022 will reach 10.01 billion yuan, exceeding the annual income level in 2021, an increase of 62.2% year-on-year; the highest level in several years.

  Endogenous epitaxy, creating a pan-semiconductor equipment platform

  The company's semiconductor equipment product layout is comprehensive, covering multiple process links, and it is the most competitive supplier of front-end silicon etching machines, PVD, vertical furnaces, and cleaning machines in China. In terms of heat treatment equipment, the company's vertical furnace and horizontal furnace equipment have reached the advanced level of domestic semiconductor equipment, and the equipment is widely used in the production lines of major fabs such as Yangtze River Storage, Hua Hong Wuxi, and Shanghai Jita; in terms of thin film deposition equipment, the company The self-developed Al Pad PVD equipment can be applied to the Bond Pad and Al Interconnect processes of the 90-28nm technology node. The self-developed exiTin H630 TiN metal hard mask PVD system is aimed at 12-inch metal hard mask equipment for the 55-28nm process. In addition Copper interconnect PVD equipment has also achieved continuous improvement in technical capabilities, which is expected to break AMAT's monopoly in this field; in terms of etching equipment, the company's 12-inch ICP etching machine has achieved domestic substitution of 28nm process, and 14nm products have also passed relevant Process verification, a large number of related products have been introduced into the main product line in China. At the same time, CCP dielectric etching machines have also completed verification and mass production in 5 customers.
 

gelgoog

Lieutenant General
Registered Member
I do not remember seeing this article from Nikkei here before.
Please, Log in or Register to view URLs content!

U.S. sanctions derail China chipmakers' expansion plans​

Yangtze Memory and ChangXin forced to delay new factories and lay off staff

February 15, 2023
...
Both YMTC engineers and technicians at the site to deliver manufacturing equipment had no idea when its second plant, originally slated to start up in late 2022, would actually come online.
"Things like electrical equipment have been set up, but installation of chipmaking equipment hasn't started yet," one said.
...
The company broke ground in 2020 on a second factory -- estimated to cost around 100 billion yuan ($15 billion at current rates) -- to triple production capacity.
...
That September, not long before the sanctions were announced, Simon Yang -- who reportedly holds a U.S. passport -- stepped down as CEO of YMTC. The following month saw the departures of American engineers from U.S.-based chipmaking equipment companies who had supported YMTC's expansion plans.
The delays have forced YMTC to cut jobs.
"Our department started layoffs in January of around 10% of its staff," said an engineer who has worked at the company for about three years. "They've also frozen the hiring of graduate students."
...
ChangXin Memory Technologies, which makes DRAM chips using advanced technology covered by the sanctions, has also had a wrench thrown into its plans.
CXMT finished a new office building for a planned second plant located near the company's headquarters in the city of Hefei. But the construction of the production facility itself is facing lengthy delays.
"We planned to begin operations in 2023, but it won't happen until 2024 or 2025 at the soonest," said a CXMT engineer.
The construction of CXMT's new research and development center appears to have made hardly any progress as well.
"The hiring of graduate students has been suspended temporarily," said the engineer, who added the company is cutting 5% to 7% of staff, depending on the department.
...
China's rate of self-sufficiency in semiconductors grew to 24% in 2021 from 10% in 2015, according to International Business Strategies. The U.S. research company put out a report in June last year that predicted the ratio will exceed 50% in 2030. But when the U.S. later toughened trade sanctions, IBS CEO Handel Jones warned the self-sufficiency share might stall at 30% by that date.
The semiconductor market has been lackluster as of late, with Chinese companies -- the main clients of YMTC and other chip suppliers -- easing up on orders.
...
 

CMP

Senior Member
Registered Member
I do not remember seeing this article from Nikkei here before.
Please, Log in or Register to view URLs content!

U.S. sanctions derail China chipmakers' expansion plans​

Yangtze Memory and ChangXin forced to delay new factories and lay off staff

February 15, 2023
...
Both YMTC engineers and technicians at the site to deliver manufacturing equipment had no idea when its second plant, originally slated to start up in late 2022, would actually come online.
"Things like electrical equipment have been set up, but installation of chipmaking equipment hasn't started yet," one said.
...
The company broke ground in 2020 on a second factory -- estimated to cost around 100 billion yuan ($15 billion at current rates) -- to triple production capacity.
...
That September, not long before the sanctions were announced, Simon Yang -- who reportedly holds a U.S. passport -- stepped down as CEO of YMTC. The following month saw the departures of American engineers from U.S.-based chipmaking equipment companies who had supported YMTC's expansion plans.
The delays have forced YMTC to cut jobs.
"Our department started layoffs in January of around 10% of its staff," said an engineer who has worked at the company for about three years. "They've also frozen the hiring of graduate students."
...
ChangXin Memory Technologies, which makes DRAM chips using advanced technology covered by the sanctions, has also had a wrench thrown into its plans.
CXMT finished a new office building for a planned second plant located near the company's headquarters in the city of Hefei. But the construction of the production facility itself is facing lengthy delays.
"We planned to begin operations in 2023, but it won't happen until 2024 or 2025 at the soonest," said a CXMT engineer.
The construction of CXMT's new research and development center appears to have made hardly any progress as well.
"The hiring of graduate students has been suspended temporarily," said the engineer, who added the company is cutting 5% to 7% of staff, depending on the department.
...
China's rate of self-sufficiency in semiconductors grew to 24% in 2021 from 10% in 2015, according to International Business Strategies. The U.S. research company put out a report in June last year that predicted the ratio will exceed 50% in 2030. But when the U.S. later toughened trade sanctions, IBS CEO Handel Jones warned the self-sufficiency share might stall at 30% by that date.
The semiconductor market has been lackluster as of late, with Chinese companies -- the main clients of YMTC and other chip suppliers -- easing up on orders.
...
Japanese copium. Even the imperial core's media (Bloomberg) editors have collectively agreed that the CHIPS act is going to fail.
 

siegecrossbow

General
Staff member
Super Moderator
I do not remember seeing this article from Nikkei here before.
Please, Log in or Register to view URLs content!

U.S. sanctions derail China chipmakers' expansion plans​

Yangtze Memory and ChangXin forced to delay new factories and lay off staff

February 15, 2023
...
Both YMTC engineers and technicians at the site to deliver manufacturing equipment had no idea when its second plant, originally slated to start up in late 2022, would actually come online.
"Things like electrical equipment have been set up, but installation of chipmaking equipment hasn't started yet," one said.
...
The company broke ground in 2020 on a second factory -- estimated to cost around 100 billion yuan ($15 billion at current rates) -- to triple production capacity.
...
That September, not long before the sanctions were announced, Simon Yang -- who reportedly holds a U.S. passport -- stepped down as CEO of YMTC. The following month saw the departures of American engineers from U.S.-based chipmaking equipment companies who had supported YMTC's expansion plans.
The delays have forced YMTC to cut jobs.
"Our department started layoffs in January of around 10% of its staff," said an engineer who has worked at the company for about three years. "They've also frozen the hiring of graduate students."
...
ChangXin Memory Technologies, which makes DRAM chips using advanced technology covered by the sanctions, has also had a wrench thrown into its plans.
CXMT finished a new office building for a planned second plant located near the company's headquarters in the city of Hefei. But the construction of the production facility itself is facing lengthy delays.
"We planned to begin operations in 2023, but it won't happen until 2024 or 2025 at the soonest," said a CXMT engineer.
The construction of CXMT's new research and development center appears to have made hardly any progress as well.
"The hiring of graduate students has been suspended temporarily," said the engineer, who added the company is cutting 5% to 7% of staff, depending on the department.
...
China's rate of self-sufficiency in semiconductors grew to 24% in 2021 from 10% in 2015, according to International Business Strategies. The U.S. research company put out a report in June last year that predicted the ratio will exceed 50% in 2030. But when the U.S. later toughened trade sanctions, IBS CEO Handel Jones warned the self-sufficiency share might stall at 30% by that date.
The semiconductor market has been lackluster as of late, with Chinese companies -- the main clients of YMTC and other chip suppliers -- easing up on orders.
...

No matter. In a couple of months the Dutch and Japanese will be willing to sell their own mothers just to scrape by.

PS In the case of Japan the people would probably support it because it is a great way to offload burden non productive population.
 
Last edited:

gelgoog

Lieutenant General
Registered Member
Japanese copium. Even the imperial core's media (Bloomberg) editors have collectively agreed that the CHIPS act is going to fail.
Both things might be true simultaneously. The US government CHIPS act failing in its goal to reshore manufacturing, and Chinese government efforts to increase chip manufacturing in China stalling. I put it here because the article seems to have a good grasp on the situation internally at YMTC and CXMT. It matches with satellite photos of YMTC and CXMT which I posted here which shows YMTC finishing the construction of its second fab, and CXMT still nowhere near building theirs.

In the long run if the Chinese chip industry survives the sanctions onslaught and is able to make its own tools and materials however, the US chokehold of the semi sector might evaporate.
 
Last edited:

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member

North Huachuang (002371): There is a wide space for domestic substitution of leading semiconductor equipment​


  equipment leaders is prominent Covering the fields of semiconductor equipment, vacuum equipment, new energy lithium battery equipment and precision components, the company has gradually grown into a leading domestic semiconductor equipment platform supplier in recent years.

  The semiconductor equipment and electronic components business accounted for 71% and 25% of the company's revenue in the first half of 2022, respectively, and are the company's core revenue sources. In recent years, the semiconductor equipment business has benefited from the rapid development of the industry and the outbreak of domestic substitution demand, and the electronic components business has benefited from the demand for downstream aerospace and other high-tech application fields. Both have achieved rapid growth. In the first half of 2022, revenues were It was 3.87 billion yuan and 1.33 billion yuan, a year-on-year increase of 55.1% and 72.5% respectively. The company's overall revenue in the first three quarters of 2022 will reach 10.01 billion yuan, exceeding the annual income level in 2021, an increase of 62.2% year-on-year; the highest level in several years.

  Endogenous epitaxy, creating a pan-semiconductor equipment platform

  The company's semiconductor equipment product layout is comprehensive, covering multiple process links, and it is the most competitive supplier of front-end silicon etching machines, PVD, vertical furnaces, and cleaning machines in China. In terms of heat treatment equipment, the company's vertical furnace and horizontal furnace equipment have reached the advanced level of domestic semiconductor equipment, and the equipment is widely used in the production lines of major fabs such as Yangtze River Storage, Hua Hong Wuxi, and Shanghai Jita; in terms of thin film deposition equipment, the company The self-developed Al Pad PVD equipment can be applied to the Bond Pad and Al Interconnect processes of the 90-28nm technology node. The self-developed exiTin H630 TiN metal hard mask PVD system is aimed at 12-inch metal hard mask equipment for the 55-28nm process. In addition Copper interconnect PVD equipment has also achieved continuous improvement in technical capabilities, which is expected to break AMAT's monopoly in this field; in terms of etching equipment, the company's 12-inch ICP etching machine has achieved domestic substitution of 28nm process, and 14nm products have also passed relevant Process verification, a large number of related products have been introduced into the main product line in China. At the same time, CCP dielectric etching machines have also completed verification and mass production in 5 customers.
Please, Log in or Register to view URLs content!
I believe this is from here
公司自研的Al Pad PVD设备可应用于90-28nm技术节点的Bond Pad和Al Interconnect工艺,自研的exiTin H630 TiN金属硬掩膜PVD系统是针对55-28nm制程12寸金属硬掩膜设备,此外铜互连PVD设备方面也实现了技术能力的不断提升,有望打破AMAT在该领域的垄断地位;刻蚀设备方面,公司12英寸ICP刻蚀机实现28nm制程的国产替代,14nm产品也已通过相关工艺验证,相关产品在国内主要品圆产线实现了大量的导入,同时CCP介质刻蚀机也已在5家客户完成验证且实现量产;清洗设备方面,2018年公司完成对美国清洗设备公司Akrion的收购,半导体清洗设备产品线得到有效的补充和增强,整合后清洗业务包括单片和批式清洗机产品线,相关产品可适用于技术节点为65nm、28nm工艺芯片制造。
Looks like they have quite a few equipment mentioned in there. At 28nm or 14nm process. If we are just thinking about things from replacing AMAT and Lam tools, what are they still missing on? What's preventing SMSC from expanding 14nm process?
 

tokenanalyst

Brigadier
Registered Member
Please, Log in or Register to view URLs content!
I believe this is from here

Looks like they have quite a few equipment mentioned in there. At 28nm or 14nm process. If we are just thinking about things from replacing AMAT and Lam tools, what are they still missing on? What's preventing SMSC from expanding 14nm process?
Could be they are still working on getting good yields with local tools.
Could be that they are not getting enough tools to expand faster. Naura and AMEC production capacity needs to expand as well.

A clue could be in this paper, looks like they are modifying their manufacturing process to expand the use of CCP etching tools into the FEOL for FinFET production.

Extending the Application of Capacitively Coupled Plasma Etching Tools to the Front-End-of-Line Fin-Cut Etching Process for FinFET Mass Production.​

Semiconductor Manufacturing International Corporation

Abstract​

As FinFET scales down to advanced technology nodes, Self-aligned Quadruple Patterning (SaQP) scheme is widely deployed for Fin formation, where two mandrels (MD1 and MD2) and corresponding mandrel spacers (MD1SP and MD2SP) are patterned for accurate CD transferring. The Fin-cut etching process of the MD2SP Cutting is very critical for the patterning of the Standard Cell and the SRAM patterns. This work studies the application of the Front End of Line (FEoL) MD2SP Cutting process in both inductively coupled plasma (ICP) and capacitively coupled plasma (CCP) etchers. The mismatch between the two tools is observed and discussed in detail. For CCP tools, a degradation of line width roughness (LWR) and increase of critical dimension (CD) loading between dense and isolated patterns are observed. Compared with the ICP etchers, the CCP etchers have lower plasma dissociation and stronger physical bombardment of ions. Furthermore, it is harder to control the plasma density and directionality separately. To increase CCP tool applications in FEoL processes, we introduce a Silicon coating process to modify the photoresist (PR) during the CCP etching to harden the surface of the PR and enhance the LWR performance. Furthermore, the application of atomic layer etching (ALE) technology ensures the CD loading between the dense and isolated patterns. With the application of our study, we can broaden the application of CCP tools in FEoL for FinFET mass production.


Please, Log in or Register to view URLs content!

Looks like SMIC has manage to solved some issues on the etched resolution of patterns made with capacitive couple plasma etchers.
AMEC and Naura etchers?
 

tokenanalyst

Brigadier
Registered Member

VeriSilicon helps BlueOcean to deploy chip products based on Chiplet architecture​


March 30, 2023, Shanghai, China——VeriSilicon (VeriSilicon, stock code: 688521.SH) announced today that AI Chiplet and SoC design company Nanjing Lanyang Intelligent Technology (referred to as "Lanyang Intelligent") adopts VeriSilicon This processor IP deploys a high-performance artificial intelligence (AI) chip based on a scalable Chiplet architecture, targeting data centers, high-performance computing, automotive and other application fields. The VeriSilicon processor IP used by BlueOcean includes General Graphics Processor (GPGPU) IP CC8400, Neural Network Processor (NPU) IP VIP9400, and Video Processor (VPU) IP VC8000D.

VeriSilicon's highly scalable CC8400 has excellent general-purpose computing performance and supports half-precision 16-bit floating-point and full-precision 32-bit floating-point data processing, as well as 8-bit, 16-bit and 32-bit fixed-point data precision. While CC8400 provides powerful computing power, it can also optimize area and power consumption. VeriSilicon's VIP9400 supports the Transformer model and can provide powerful AI computing power for data center and automotive applications. In addition, VeriSilicon's VC8000D has the characteristics of high throughput and multi-format, which can be used for video content analysis.

BlueOcean's chip products for high-performance computing (HPC), AI and computing platforms adopt scalable Chiplet technology, which is universally programmable and can support product applications from edge to cloud for multiple industries and customers. The company uses its advanced architecture and BxLink patented technology to integrate its innovative micro-architecture, hardware and software development environment, which can provide fully scalable solutions, greatly enhance the competitiveness of customers' products, reduce product development costs, and shorten development cycle. Its customer products cover areas such as AI training, inference, high-precision computing, large-scale image processing, fluid dynamics, climate science, autonomous driving, advanced robotics, and biomedicine. With the Chiplet architecture, BlueOcean's products can cover a wide range of market segments from low-power edge AI applications using the Bx100 solution to high-performance computing cloud systems using the Bx400 and Bx800 solutions.

Please, Log in or Register to view URLs content!
 

theorlonator

Junior Member
Registered Member

VeriSilicon helps BlueOcean to deploy chip products based on Chiplet architecture​


March 30, 2023, Shanghai, China——VeriSilicon (VeriSilicon, stock code: 688521.SH) announced today that AI Chiplet and SoC design company Nanjing Lanyang Intelligent Technology (referred to as "Lanyang Intelligent") adopts VeriSilicon This processor IP deploys a high-performance artificial intelligence (AI) chip based on a scalable Chiplet architecture, targeting data centers, high-performance computing, automotive and other application fields. The VeriSilicon processor IP used by BlueOcean includes General Graphics Processor (GPGPU) IP CC8400, Neural Network Processor (NPU) IP VIP9400, and Video Processor (VPU) IP VC8000D.

VeriSilicon's highly scalable CC8400 has excellent general-purpose computing performance and supports half-precision 16-bit floating-point and full-precision 32-bit floating-point data processing, as well as 8-bit, 16-bit and 32-bit fixed-point data precision. While CC8400 provides powerful computing power, it can also optimize area and power consumption. VeriSilicon's VIP9400 supports the Transformer model and can provide powerful AI computing power for data center and automotive applications. In addition, VeriSilicon's VC8000D has the characteristics of high throughput and multi-format, which can be used for video content analysis.

BlueOcean's chip products for high-performance computing (HPC), AI and computing platforms adopt scalable Chiplet technology, which is universally programmable and can support product applications from edge to cloud for multiple industries and customers. The company uses its advanced architecture and BxLink patented technology to integrate its innovative micro-architecture, hardware and software development environment, which can provide fully scalable solutions, greatly enhance the competitiveness of customers' products, reduce product development costs, and shorten development cycle. Its customer products cover areas such as AI training, inference, high-precision computing, large-scale image processing, fluid dynamics, climate science, autonomous driving, advanced robotics, and biomedicine. With the Chiplet architecture, BlueOcean's products can cover a wide range of market segments from low-power edge AI applications using the Bx100 solution to high-performance computing cloud systems using the Bx400 and Bx800 solutions.

Please, Log in or Register to view URLs content!
I saw an English language announcement too:
Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top