Chinese semiconductor industry

Status
Not open for further replies.

ansy1968

Brigadier
Registered Member
I like your enthusiasm and full of energy regarding Chinese semiconductor progress, I do hope the news is true and not fake
@antiterror13 Sir thanks for the compliment and I do hope so...lol, In all seriousness for me that twitter is a diversion, a misinformation campaign to lure the American of complacency. Why do you broadcast your failure so publicly and in Twitter an American company? why not in Weibo? Who is their expected audiences? The evidence as shown from post of @foofy @WTAN @Oldschool and @tokenanalyst says otherwise. With multiple breakthrough from Ion Planter, photoresist and others to complete the domestic 14nm processes and one of those is the SSA800 DUVL.

Sir there are so many rumors BUT IF you connect the dot with Huawei proudly and publicly declaring the Return of the King in 2023, the mass production of the domestic 14nm process node in 2022, Hisilicon 14nm 3D chiplet, Huawei teaming up with SMIC for a FAB in Shenzhen (definitely will used the SMEE SSA800 DUVL since Huawei is under sanction) and its FAB in Shanghai scheduled to be finished in 2022, it all adds up for a successful DUVL program which is a critical component for chip production.
 

ansy1968

Brigadier
Registered Member
@antiterror13 Sir a reply from our esteem member @Oldschool, just like what I posted Mr Daweiwei is a propagandist, his tweet may have some truth to it BUT he twisted it in a way to look bad for political purposes and from the respond we got here, IT WORK!!!! ...lol. All I can say is "Believed in the force my Padawan, Use the Force". :cool:

From @Oldschool

I read about the posts regarding SMEE failure by Daweiwei. I don't think he knows the details. I think people speculating no progress means failures.
There are Not activities currently because they are still fine tuning and there are Only 3 sets of dual stage working station by Uprecision and all 3 are used up by SMEE. That's it not more. The production of more Dual stage will begin first half of 2022 and won't likely come out till 2023.

CETC 35nm DUV design already finished but have no available Dual stage stations to work with. Its waiting for it. Both CETC 35nm and SMEE 28nm use the same parts.

而ssa800所用到的华卓精科的双工台,也至少要等到2023年了,因为该双工台的量产是华卓精科亦庄二期来做,而该二期产线计划明年上半年才开工


Huawei won't have EUV by 2023.
But there is good progress being made. EUV light source already setup and begins to run.

641


xw.qq.com

Please, Log in or Register to view URLs content!

华为将用上国产高端芯,中科院突破EUV最后难关,ASML预言成真,一、导读7月6日讯,目前全球缺芯愈发严重,华为、高通、台积电、三星、苹果等科技巨头,均受到了缺芯的影响,即便如此,西方依然没有放弃对国产科技的打压,这让全球半导体产业雪上加霜,供需之间已经严重失衡,为此全球各大半导体企业纷纷选择自给自足,比如欧洲,包括德国、法国、西班牙等在内的17国组成欧洲半导体联盟,...
xw.qq.com
xw.qq.com


Everything takes time and most important its being initiated. Not just on the drawing board.
 

ansy1968

Brigadier
Registered Member
The US believed and that of DPP of Ms Tsai that China will never be successful in IC. Well Huawei who is the Vanguard of Chinese IC development will prove them wrong. By investing in human resources, they will reap the reward and Cao Yuan is one of them. 2019 and 2020 is the plowing season for Huawei , 2021 and 2022 will be the planting of the seed, with the expected partial harvest in 2023 and full harvest in 2024, the produce will have a bitter sweet taste of victory.

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

19 views10 minutes ago
 

WTAN

Junior Member
Registered Member
@WTAN

I think the thread would appreciate your input regarding these two tweets that has ended up rousing such a storm.




My opinion is that both of them are full of it and at the moment is not worth paying attention to.
But I think everyone at large would be interested on your take to settle things a little.
As far as i know, the SMEE 28nm DUVL has been sucessfully developed and is the equivalent of the ASML 1980i. This has been confirmed by an SMEE Insider.
The DUVL is currently undergoing rigorous trials at a test production line and may have already been certified.
SMEE Officials have reportedly said that mass production of the DUVL will begin sometime in mid 2022.
This fits in with the claim recently by the Huawei CEO that Huawei will begin production of its 28nm ICs in 2022.
As far as information from Twitter is concerned, many of these people are not experts in the technical field and they usually copy information from each other. For eg: Chollima Report may get their info from China Semi etc. Dai Wei Wei tweets but does not provide sources. So we have to be careful in reading this info.

In regard to the EUVL, i think there is still no firm decision made on whether LPP or DPP should be used. The Synchrotron Light Source or SSMB is also another option being looked at.
The Chinese Academy of Sciences is now starting to do R&D on the SSMB Light Source. (separately from Tsinghua Uni)
The newly constructed 4th Generation Beijing HEP Synchrotron Facility is the perfect place to do R&D on SSMB for EUVL Lithography.
It is a World leading and Advanced 4th Gen Synchrotron and the CAS will certainly use it to develop the SSMB Light Source.
Will the EUVL be ready in 2023? Might be a bit early and i think more likely by 2025.
In the mean time, SMEE and CETC will develop advanced versions of their DUVL which can produce 5nm ICs.
 

tokenanalyst

Brigadier
Registered Member

Biden Faces a Policy Dilemma With China’s Biggest Chipmaker​


The White House is considering new ways to curb China’s ability to produce high-end computer chips. The problem, according to the companies that would be subject to the rules, is that any apparent solution would also harm a major U.S. industry and possibly more than one.

Biden administration officials met last week to
Please, Log in or Register to view URLs content!
that restrict the sale of equipment to China’s largest chipmaker, Semiconductor Manufacturing International Corp. Such a move would build on
Please, Log in or Register to view URLs content!
President Donald Trump imposed on SMIC last year.

The meeting ended without an immediate resolution, and that left hawks in Washington dissatisfied. “No update to the SMIC licensing policy is a major mistake,’’ Michael McCaul, the lead Republican of the U.S. House Foreign Affairs Committee, said in a statement. “We cannot let the interests of one industry segment result in the Chinese military being able to make its own semiconductors.”

That “one industry segment” refers to U.S. companies that build equipment to produce chips. That group, led by Applied Materials Inc., Lam Research Corp. and KLA Corp., accounts for more than 40% of the global market for gear used to make advanced semiconductors.

The Trump administration figured that it could slow China down by withholding certain types of American machinery. There was an unintended consequence, according to executives at U.S. equipment makers. The export ban created an opportunity for foreign companies, including those in China, to fill a hole left by the Americans.

One apparent beneficiary of the U.S. policy is Tokyo Electron Ltd. The Japanese company got about 15% of its sales in fiscal 2018 from China. In the most recent financial year, it was 29%. The U.S. would need allies in Japan and Europe to implement similar bans for the strategy to be effective.

But even then, Chinese companies could figure out how to make the machinery themselves. Beijing-based Naura Techology Group. is on course to grow about 50% this year, according to estimates from analysts compiled by Bloomberg. That’s a strong growth rate for a company of any size and considerably faster than its U.S. rivals.

Executives from the U.S. companies argue that it would be safer to install their equipment in Chinese factories because the software could allow them to monitor what the chipmakers are doing. Otherwise, those facilities become a black box, they said, asking not to be identified discussing matters of national security.

Another factor to consider is possible retaliation. Chinese factories make hundreds of millions of phones and computers. They account for more than half of the chip industry’s $400 billion in sales. Without access to China, growth prospects for U.S. companies would be sharply curtailed.
 

Maikeru

Captain
Registered Member

Biden Faces a Policy Dilemma With China’s Biggest Chipmaker​


The White House is considering new ways to curb China’s ability to produce high-end computer chips. The problem, according to the companies that would be subject to the rules, is that any apparent solution would also harm a major U.S. industry and possibly more than one.

Biden administration officials met last week to
Please, Log in or Register to view URLs content!
that restrict the sale of equipment to China’s largest chipmaker, Semiconductor Manufacturing International Corp. Such a move would build on
Please, Log in or Register to view URLs content!
President Donald Trump imposed on SMIC last year.

The meeting ended without an immediate resolution, and that left hawks in Washington dissatisfied. “No update to the SMIC licensing policy is a major mistake,’’ Michael McCaul, the lead Republican of the U.S. House Foreign Affairs Committee, said in a statement. “We cannot let the interests of one industry segment result in the Chinese military being able to make its own semiconductors.”

That “one industry segment” refers to U.S. companies that build equipment to produce chips. That group, led by Applied Materials Inc., Lam Research Corp. and KLA Corp., accounts for more than 40% of the global market for gear used to make advanced semiconductors.

The Trump administration figured that it could slow China down by withholding certain types of American machinery. There was an unintended consequence, according to executives at U.S. equipment makers. The export ban created an opportunity for foreign companies, including those in China, to fill a hole left by the Americans.

One apparent beneficiary of the U.S. policy is Tokyo Electron Ltd. The Japanese company got about 15% of its sales in fiscal 2018 from China. In the most recent financial year, it was 29%. The U.S. would need allies in Japan and Europe to implement similar bans for the strategy to be effective.

But even then, Chinese companies could figure out how to make the machinery themselves. Beijing-based Naura Techology Group. is on course to grow about 50% this year, according to estimates from analysts compiled by Bloomberg. That’s a strong growth rate for a company of any size and considerably faster than its U.S. rivals.

Executives from the U.S. companies argue that it would be safer to install their equipment in Chinese factories because the software could allow them to monitor what the chipmakers are doing. Otherwise, those facilities become a black box, they said, asking not to be identified discussing matters of national security.

Another factor to consider is possible retaliation. Chinese factories make hundreds of millions of phones and computers. They account for more than half of the chip industry’s $400 billion in sales. Without access to China, growth prospects for U.S. companies would be sharply curtailed.
Can you please put the source of that report so we can judge its credibility?
 

tokenanalyst

Brigadier
Registered Member
The meeting ended without an immediate resolution, and that left hawks in Washington dissatisfied. “No update to the SMIC licensing policy is a major mistake,’’ Michael McCaul, the lead Republican of the U.S. House Foreign Affairs Committee, said in a statement. “We cannot let the interests of one industry segment result in the Chinese military being able to make its own semiconductors.”
News flash, they already do that Mr McCaul. They have been doing that from a long time ago.
Executives from the U.S. companies argue that it would be safer to install their equipment in Chinese factories because the software could allow them to monitor what the chipmakers are doing. Otherwise, those facilities become a black box, they said, asking not to be identified discussing matters of national security.
Boom this.
The United States is not attacking SMIC because it sells to the Chinese military, but for purely economic reasons, the Chinese know that such equipment is monitored, that's why the Chinese military is quite independent when it comes to military chips, most of the military chips are manufactured in low volume and does not require the latest node process, virtually SMEE and CETC litho machines are good enough for them. This really is an economic attack on the Chinese electronics industry disguised as national security, Chinese companies grow too big for America's comfort.
And the saddest thing is that there are still people within the Chinese semiconductor and electronics industry who are blind to this reality, they think that everything is sun and rainbow and that they continuing to have their company completely dependent on a product subject to the wishes of hostile American politicians is a good strategy.
 

Skywatcher

Captain

Biden Faces a Policy Dilemma With China’s Biggest Chipmaker​


The White House is considering new ways to curb China’s ability to produce high-end computer chips. The problem, according to the companies that would be subject to the rules, is that any apparent solution would also harm a major U.S. industry and possibly more than one.

Biden administration officials met last week to
Please, Log in or Register to view URLs content!
that restrict the sale of equipment to China’s largest chipmaker, Semiconductor Manufacturing International Corp. Such a move would build on
Please, Log in or Register to view URLs content!
President Donald Trump imposed on SMIC last year.

The meeting ended without an immediate resolution, and that left hawks in Washington dissatisfied. “No update to the SMIC licensing policy is a major mistake,’’ Michael McCaul, the lead Republican of the U.S. House Foreign Affairs Committee, said in a statement. “We cannot let the interests of one industry segment result in the Chinese military being able to make its own semiconductors.”

That “one industry segment” refers to U.S. companies that build equipment to produce chips. That group, led by Applied Materials Inc., Lam Research Corp. and KLA Corp., accounts for more than 40% of the global market for gear used to make advanced semiconductors.

The Trump administration figured that it could slow China down by withholding certain types of American machinery. There was an unintended consequence, according to executives at U.S. equipment makers. The export ban created an opportunity for foreign companies, including those in China, to fill a hole left by the Americans.

One apparent beneficiary of the U.S. policy is Tokyo Electron Ltd. The Japanese company got about 15% of its sales in fiscal 2018 from China. In the most recent financial year, it was 29%. The U.S. would need allies in Japan and Europe to implement similar bans for the strategy to be effective.

But even then, Chinese companies could figure out how to make the machinery themselves. Beijing-based Naura Techology Group. is on course to grow about 50% this year, according to estimates from analysts compiled by Bloomberg. That’s a strong growth rate for a company of any size and considerably faster than its U.S. rivals.

Executives from the U.S. companies argue that it would be safer to install their equipment in Chinese factories because the software could allow them to monitor what the chipmakers are doing. Otherwise, those facilities become a black box, they said, asking not to be identified discussing matters of national security.

Another factor to consider is possible retaliation. Chinese factories make hundreds of millions of phones and computers. They account for more than half of the chip industry’s $400 billion in sales. Without access to China, growth prospects for U.S. companies would be sharply curtailed.
Ya know, maybe Matt Pottinger should have done some more market research before starting those bans?

A lot of semiconductor manufacturing equipment isn't exactly the same level of difficulty as high bypass, geared turbofan engines (not to mention that semiconductor manufacturing is not a consumer facing business)
 
Status
Not open for further replies.
Top