Chinese semiconductor industry

Status
Not open for further replies.

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
This is the official text (for people inclined to read long legalese stuff):

Please, Log in or Register to view URLs content!


The summary of the changes is at page 5


I'd wait for a deep review form expert people....

From what we can read as of today, it does not seem such a "big" thing, and nothing compared to the last year's one.

- Yes, Biren and Moore Thread are now on entity list, but this was very well expected (actually it was a miracle they were not already there).

- Yes, Nvidia H800 should be further crippled to below 300 TOPS, but is this really such a heavy blow?

- Yes, there will be further limitations on SME equipment, but above 14/16nm US firms are already out of the market the facto, and below 16nm they were already banned since last year.

Overall, I'd wait to see if there will be some last minute "surprise" that sneaks in before final version, but current version seems very AI focused and even in that scope, is far from clear the real impact on NVIDIA actual sells on the Chinese market.

The real big, heavy blows like: ASML banning, EDA banning, TSMC / Samsung foundry services banning, even not to mention the brain-dead idea of banning RISC-V and other open source stuff for China, all this seems out of the scope of current version.


EDIT: It seems ASMLis somehow affected:

Please, Log in or Register to view URLs content!
I think we will have to see how ASML is actually affected. I don't see how US can prevent ASML DUVs shipped to certain fabs in China if it involves no US tech. So the key is for ASML to remove US tech from DUVs it sell in China

As for your other comments, there aren't any real big heavy blows. EDA banning is not useful when Empyrean already has full support for 7nm.

TSMC/Samsung foundry services banning would be a good thing for SMIC. Maybe domestic chip designers will actually learn to use SMIC

banning risc-v & LLM cooperation with China will just cut america out from open source community. These are not real threats

What this does show is that Huawei wins big time and many other Chinese tech firms will lose out
 

tamsen_ikard

Junior Member
Registered Member
I think we will have to see how ASML is actually affected. I don't see how US can prevent ASML DUVs shipped to certain fabs in China if it involves no US tech. So the key is for ASML to remove US tech from DUVs it sell in China

As for your other comments, there aren't any real big heavy blows. EDA banning is not useful when Empyrean already has full support for 7nm.

TSMC/Samsung foundry services banning would be a good thing for SMIC. Maybe domestic chip designers will actually learn to use SMIC

banning risc-v & LLM cooperation with China will just cut america out from open source community. These are not real threats

What this does show is that Huawei wins big time and many other Chinese tech firms will lose out

As long as they don't ban consumer chips, nothing really matters that can really destroy Chinese tech progress. Consumer chips are the cutting edge of Chip making anyway. So, if you are not banning consumer chips into China then what is preventing China from using those consumer chips to do whatever they want?


If the goal is to prevent Chinese supercomputer progress, then again nothing preventing China from using US made or Taiwan made server side CPU and GPU to develop large Supercomputers.

If the goal is AI progress prevention, then as I discussed in my previous posts, consumer CPU and GPU can also train AI models.

So, the only thing US can do is destroy Chinese brand of Phones or Laptop companies from creating their products. And even if China has no phone or laptop industry, they can still buy from abroad and still make progress.

And consumer chips is not bannable at all. Nothing preventing millions of chips to be re-exported by other countries. Nothing can prevent Foreign phones or laptops from ever entering China from countries.

Even the most sanctioned countries in the world have iphones and US made laptops roaming around. Blackmarket for these things is simply not stoppable. So what will prevent China's tech progress?

But all this bans and sanctions have super-charged Chinese self-reliance push for Chips. A self-goal of highest proportion by US and the west.
 

tokenanalyst

Brigadier
Registered Member

Northern Huachuang (002371): 23Q3 revenue increased year-on-year and IC equipment continued to be booming​


Northern Huachuang released its performance forecast for the first three quarters of 2023. The single-quarter revenue for 23Q3 is expected to be 5.73-6.56 billion yuan, a year-on-year +25.4%-43.6%, and the net profit after non-attributed profits is expected to be 950-1.1 billion yuan, a year-on-year +14.3%-32.4% , maintaining the "overweight" investment rating.

  23Q3 revenue has experienced high month-on-month growth, and it is expected that IC equipment will perform better than the overall business on a year-on-year basis. 23Q3 single-quarter revenue is expected to be 5.73-6.56 billion yuan (median 6.15 billion yuan), +25.4%-43.6% year-on-year (median 34.6%) / +25.8%-44% quarter-on-quarter (median 35%). The company's Q3 single-quarter revenue has increased significantly from the previous quarter, and is expected to be better than the industry average. At the same time, the single-quarter year-on-year growth rate in 23Q3 is similar to that of 23Q2, and the overall revenue performance on a quarter-on-quarter basis slightly exceeds market expectations. Considering that the company's electronic components business has been under pressure since 2023 due to the decline in the special IC industry, it is expected that after deducting the electronic components business, the year-on-year performance of the IC equipment business in 23Q3 is expected to be better than the overall performance of the business.
  In 23Q3, single-quarter profits maintained year-on-year growth, and net profit margins were under pressure year-on-year. 23Q3 single-quarter net profit attributable to the parent is expected to be 1.0-1.16 billion yuan, +7.4%-24.5% year-on-year/-17.2%~-3.9% month-on-month; net profit after non-attribution to the parent is expected to be 9.5-1.1 billion yuan, +14.3%-32.4 year-on-year %/month-on-month -11.7%~+2.3%. Calculated based on the median value, the non-net interest rate in a single quarter is 16.7%, which is -1.5pcts year-on-year/-6.9pcts quarter-on-quarter. We believe this may be mainly due to the income structure. Since the revenue of electronic components in 23H1 was -21% year-on-year, and considering that the prosperity of special industries in 23Q3 is still under pressure, the revenue share of the company's high-margin electronic components business in Q3 (23H1 gross profit margin 70%, net profit margin 37%) may be Still lower. Excluding the impact of product structure and seasonal expenses, we expect the gross profit margin and net profit margin of IC equipment to remain healthy.​
 

tokenanalyst

Brigadier
Registered Member

Naura performance maintains high growth and the leader deeply benefits from domestic substitution​


Recovery in demand + terminal innovation is expected to drive the expansion of wafer fab production, and platform equipment companies will benefit deeply. The rebound in industry demand coupled with terminal innovations such as AI and autonomous driving are expected to return fab capital expenditures to a high-growth track. Domestic semiconductor equipment manufacturers represented by Northern Huachuang will benefit deeply. In terms of etching equipment, the company's ICP etching equipment has excellent performance, and the R&D and introduction of CCP equipment is progressing smoothly. Among them, the 12-inch CCP crystal edge etching machine has entered multiple production lines for verification, and the dual-frequency coupled CCP dielectric etching machine has fully covered silicon/metal /Dielectric etching process. In terms of deposition equipment, the company's copper interconnect thin film deposition and other more than 20 products have become the preferred machines for domestic mainstream chip factories. In addition, the company has product layouts in vertical furnaces, epitaxial equipment, cleaning equipment and other fields. As a platform equipment manufacturer, the company is expected to continue to benefit from the expansion of downstream wafer fabs.

 

tokenanalyst

Brigadier
Registered Member

Wanye Enterprise : A variety of ion implanter equipment products have received repeated purchases from important wafer fab customers​


Wanye Enterprise investor relations activity record sheet shows that the comprehensive performance of Keshitong equipment on the mass production lines of key wafer fab customers continues to improve, and the product equipment has excellent performance The efficient and professional service capabilities of the performance and service team have helped Keshitong's high-end ion implanter series products develop many important new customers, and a variety of ion implanter equipment products have won repeated purchases from important wafer factory customers. Since 2023, Keshitong has produced and delivered a variety of high-end ion implanter series products, and added two new 12-inch chip wafer manufacturing factory customers. The new order amount exceeded 160 million yuan, covering logic, storage, power and other application fields. In the future, we will continue to carry out in-depth exchanges and cooperation with existing customers and continue to develop new customers.
 

tokenanalyst

Brigadier
Registered Member

Semiconductor etching│Domestic self-developed high clean vacuum pressure switch.​


Pressure switches can be divided into absolute pressure switches, differential pressure switches and relative pressure switches according to the measurement range. Among them, the absolute pressure switch uses vacuum as the reference point and can measure pressure values below atmospheric pressure. The ultra-clean vacuum pressure switch launched by Zhongke Jiuwei for the semiconductor field is an absolute pressure switch. It has the advantages of high precision, high stability, ultra-high purity of materials, etc., and meets the stringent semiconductor process requirements.

1697581648919.png

Application industries:

Semiconductor, PVD, CVD, ALD, etching and composite process equipment

typical application:

1. Load-Lock application to ensure that the pressure is balanced when transferring silicon wafers

2. Semiconductor production application, as a protection device for flow and pressure of electronic devices

3. Gas monitoring panel application, monitors various gas systems, and the pressure switch outputs high and low pressure alarm signals

Please, Log in or Register to view URLs content!
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Please, Log in or Register to view URLs content!
沃泰芯 (wotai semiconductor) launched their new fab. It apparently bought 60 equipments from abroad. When fully in production, this plant can produce 360 million VCSEL Laser chips/year

this project had 1B RMB investment. Will produce GaA VCSEL chips and Inp photodtector chips
used in optical communication systems, data centers, face recognition and Lidar

That's a lot of VCSEL chips
 

interestedseal

Junior Member
Registered Member

Semiconductor etching│Domestic self-developed high clean vacuum pressure switch.​


Pressure switches can be divided into absolute pressure switches, differential pressure switches and relative pressure switches according to the measurement range. Among them, the absolute pressure switch uses vacuum as the reference point and can measure pressure values below atmospheric pressure. The ultra-clean vacuum pressure switch launched by Zhongke Jiuwei for the semiconductor field is an absolute pressure switch. It has the advantages of high precision, high stability, ultra-high purity of materials, etc., and meets the stringent semiconductor process requirements.

View attachment 120195

Application industries:

Semiconductor, PVD, CVD, ALD, etching and composite process equipment

typical application:

1. Load-Lock application to ensure that the pressure is balanced when transferring silicon wafers

2. Semiconductor production application, as a protection device for flow and pressure of electronic devices

3. Gas monitoring panel application, monitors various gas systems, and the pressure switch outputs high and low pressure alarm signals

Please, Log in or Register to view URLs content!
Here is another company that makes vacuum gauge, vacuum valves, magnetic levitating pump, MFC and light/heat sources for semiconductor equipment. At this point, it seems that every single key component of those chipmaking tools has at least one and often multiple domestic suppliers.
Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top