Chinese semiconductor industry

Status
Not open for further replies.

sunnymaxi

Captain
Registered Member
In Jan 2023,havok said that fully domestic 28nm production line will take 2-3 years to complete. See these posts below


So the timeline will be 2025-2026
yes. its been 10 months already since he said this.

all major Non-litho 28nm domestic tools have been verified. process has speed up as well. so it won't take much time.

28nm domestic line has completed if count SSA800i. given one more year for SSA800i to fully incorporate in 28nm line.

so most probably by the end of 2024 or Q1, 2025 ..

agreed with @european_guy sir ..

**********************************************************
at the same time, SMIC also testing/verifying domestic tools in 14nm/7nm lines.
 

tokenanalyst

Brigadier
Registered Member
Everything start in a lab somewhere.

Chinese Academy of Sciences' GAA transistor manufacturing process has made a breakthrough, helping nodes below 3nm​


According to the Chinese Academy of Sciences on October 23, the team of Yin Huaxiang, a researcher at the Leading Center of the Institute of Microelectronics, Chinese Academy of Sciences, recently made a breakthrough in the GAA transistor manufacturing process. As traditional fin transistor FinFET technology encounters bottlenecks, the use of GAA structures for nodes below 3nm is the future development direction. This achievement of the Chinese Academy of Sciences has improved the key performance of GAA transistors, solved the problem of electrical performance mismatch between N-type and P-type devices, and is conducive to my country's further research on semiconductor manufacturing technology below 3nm.
According to the official introduction, GAA transistor technology needs to overcome challenges such as serious mismatch in operating current (Ion) between N-type and P-type devices and difficulty in regulating threshold voltage (Vth). This puts forward more technological innovation requirements for nanosheet channel materials and high-κ metal gate materials. Therefore, device structure innovation for GAA transistors has become an important direction for future logic device process research. The team from the Institute of Microelectronics, Chinese Academy of Sciences, based on the mainstream GAA transistor manufacturing process, adjusted the Ge content of the bottom SiGe layer in the SiGe/Si stack epitaxy on the bulk silicon substrate, and used nanoscale high selectivity SiGe in the back gate channel. Using layer etching technology, a GAA device (FishboneFET) with a channel structure similar to a fishbone is designed and prepared.
Due to the introduction of additional strained SiGe nano-fin structures between traditional stacked Si nanosheets, the channel conductive area in GAA devices is greatly increased and the driving performance of P-type devices is improved under the same planar projected area. Compared with the same type of tree-like GAA device (TreeFET), the FishboneFET designed in this study further improves the electrical performance mismatch problem between N-type and P-type devices, and uses a single work function metal gate material to achieve Threshold control of CMOS devices solves the key problem of FishboneFET transistors in CMOS integration.
Based on the above-mentioned innovative technologies, the scientific research team developed CMOS FishboneFET and TreeFET devices that are compatible with mainstream GAA device processes and achieved a high N/PFET device current switching ratio. The scientific research team also proposed the valence band compensation theory in strained SiGe nano-fin, explained the special electrical effects in the new structure, and established a key technical path for the introduction of new GAA transistors into high-performance CMOS integrated circuit applications.
The relevant research results are titled Investigation of Fabricated CMOS FishboneFETs and TreeFETs With Strained SiGe Nano-Fins on Bulk-Si Substrate and were published in IEEE Electron Device Letters. According to the official introduction, this research work was supported by the Strategic Priority Science and Technology Project (Category A) of the Chinese Academy of Sciences and the National Natural Science Foundation of China.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Equipment manufacturer Weijian Intelligence completed nearly 100 million yuan in Series A+ financing​

Weijian Intelligent Packaging Technology (Shenzhen) Co., Ltd. (hereinafter referred to as "Weijian Intelligence") completed an A+ round of financing of nearly 100 million yuan, led by Haitong Kaiyuan, with Shared Investment following. This round of funds will be mainly used for product research and development, technology upgrading and market expansion.
Founded in 2019, Weijian Intelligence is a high-precision die-bonding equipment manufacturer that specializes in the research, development and production of high-precision complex process chip packaging equipment. The core members of Weijian have long-term service in major European and American international manufacturers and have more than 20 years of experience in the high-precision chip packaging industry. The company has mastered a complete set of independent core technologies such as high-precision chip packaging technology, high-precision mechanical operation and control platform, machine vision and algorithms, and high-precision process modules.
Official news from Weijian Intelligence shows that Weijian Intelligent’s 1.5um-level high-precision die bonding machine has been successfully mass-produced and commercialized on a large scale. The equipment has COC/COS, GOLD BOX, AOC/COB, TO, RF/Hybrid Device, Fan-out, Flip chip and other process capabilities, support epoxy resin and other adhesive processes, eutectic processes, sintering processes, support TCB hot pressure welding, ultrasonic welding, laser welding and other technical directions, support third-generation semiconductor chips (gallium nitride GaN, silicon carbide SiC) packaging process requirements are core chip packaging in fields such as optical communications, 5G radio frequency, commercial lasers, high-power IGBT devices, storage, MiniLED, AR/VR, MEMS, lidar, military industry, aerospace, medical health, and advanced IC packaging. key equipment

Please, Log in or Register to view URLs content!
 

tonyget

Senior Member
Registered Member
Analysis on latest US export control on AI chips by Dylan Patel of semianalysis

Please, Log in or Register to view URLs content!

Dylan thinks that the changes to the control list for equipment are much less impactful than those for AI chips.

One puzzling aspect of the 2022 rules was the very limited scope of etch tools that were put under export controls. Under the old regulations only anisotropic dry etch was included. Anisotropic means an etch that is only performed in a single direction (sideways), and dry etch means using a plasma-based etchant to remove material. Now the new regulations expands coverage of etching to isotropic etching as well (vertical).

For wet etch, only wet etch tools that have “SiGe to Si etch selectivity ratio of at least 100:1” is added. Specifically, the process that would be targeted is the removal of the sacrificial SiGe layers used to form the nanosheets for GAA transistors.

I guess the US knows that AMEC already have 100:1 machines,so they set the limit to be greater than 100:1

https%3A%2F%2Fsubstack-post-media.s3.amazonaws.com%2Fpublic%2Fimages%2Fe65e4641-61be-4879-8463-55cfcdbdd6e0_2249x1037.jpeg


https%3A%2F%2Fsubstack-post-media.s3.amazonaws.com%2Fpublic%2Fimages%2Fc26396a6-eed0-4395-9943-31304c88cb5e_4096x2434.jpeg

https%3A%2F%2Fsubstack-post-media.s3.amazonaws.com%2Fpublic%2Fimages%2F604ecefc-85dc-49a8-8869-2dc5d436735c_1992x602.png


https%3A%2F%2Fsubstack-post-media.s3.amazonaws.com%2Fpublic%2Fimages%2Fddc1c572-b462-4598-9b9c-3c39add11382_2850x1497.jpeg


https%3A%2F%2Fsubstack-post-media.s3.amazonaws.com%2Fpublic%2Fimages%2Fdbef8e97-81a8-487b-80a1-a1b16a074aef_1523x949.png
 
Last edited:

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
What I did say? Months of negotiations for nothing at the end they did whatever they want.

New US export restrictions sideline Dutch government​


Not satisfied with Dutch export curbs, Washington is imposing restrictions of its own on ASML.
By redefining what ‘US technology’ means, the US is restricting ASML’s sales in China more than the Dutch government is prepared to do. In new rules announced last week, the Biden administration has lowered the minimum requirement for certain devices or equipment to be considered ‘American’ to zero percent. This means ASML will now have to get Washington’s approval to sell certain tools to Chinese entities.
The new rule applies to all scanners with a dedicated chuck overlay (DCO) of 2.4 nanometers or better, whereas the Dutch restrictions allow for 1.5 nanometers. DCO is a measurement of overlay performance, the ability to align one chip layer to the next. A low overlay is essential to perform double or multipatterning, which is currently China’s only option to domestically manufacture advanced chips. A recently launched Huawei smartphone is reportedly powered by a 7nm chip, well below the 14nm capability that the US considers ‘safe’ for China to have.
It’s not yet clear which companies the curbs target. In an initial assessment, ASML CEO Peter Wennink suggested that only a limited number of Chinese chipmakers will be cut off from ASML’s complete immersion DUV product line. “The NXT:1980 is off limits for a handful of fabs, but not for the vast majority of our Chinese customers, for which we don’t need an export control license,” he told analysts on a conference call discussing Q3 results. The NXT:1980Di is the only immersion scanner that isn’t covered by the current Dutch restrictions. It has a DCO of ≤ 1.6 nanometers. An ASML spokesperson said on Monday that the company is still studying the extent of the new rules.

Please, Log in or Register to view URLs content!
So, I think the main difference here is that if ASML is able to de-americanize, then it can sell to Chinese customers as it please. It can a

So, ASML will just need to de-americanize. That's up to them to do
US semiconductor making tools exports to China are their lowest level since 2017 AND STILL China makes the biggest market share,
27% for AMAT, 30% for KLA and 48% HALF for Lam.

Is either that the downturn induced by the stooges in Washington D.C. is really really bad.
Or US companies are moving production to outside the United States to South East Asia faster than anyone expected.
Or a combination of both.
well, we have a general market slow down.

Keep in mind that exports to China includes a lot of exports to TSMC, UMC & Korean memory fabs. The latter really spends a lot of Lam equipments. When they show stats like this, I'm really not sure how much of it is for actual Chinese fabs
There is no bloody way that he makes such a rookie mistake. Especially considering that he is in this very thread where SMEE has been mentioned in basically every other page.
It's not a rookie mistake.

Fact is SMEE Arfi scanners is not in HVM anywhere and likely won't be a for a year.

His statement is entirely accurate from point of view of an investor

In Jan 2023,havok said that fully domestic 28nm production line will take 2-3 years to complete. See these posts below


So the timeline will be 2025-2026
The word on the street is that Huahong already have had it for a year and that there was some type of handover recently. Now, whether or not it's actually ready for HVM, we don't know. havok certainly did not say when the delivery happened. And he is also not allowed to do so.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
The newly sanctioned Moore Threads continue to improve its product. The latest firmware update now allows it to be superior to GTX 1650 in all aspect
Please, Log in or Register to view URLs content!
but in terms of performance, we are still not seeing it being compared to 3060 that its original spec was targeting.
So, there seems to be plenty of room for improvement still.

Medium term, I do wonder how well it survives current round of sanctions. Maybe it just dies off, because I do think the big hope for Chinese desktop or cloud desktop industry is whatever huawei might be working on
 

Schmoe

New Member
Registered Member
So, I think the main difference here is that if ASML is able to de-americanize, then it can sell to Chinese customers as it please. It can a

So, ASML will just need to de-americanize. That's up to them to do

well, we have a general market slow down.

Keep in mind that exports to China includes a lot of exports to TSMC, UMC & Korean memory fabs. The latter really spends a lot of Lam equipments. When they show stats like this, I'm really not sure how much of it is for actual Chinese fabs

It's not a rookie mistake.

Fact is SMEE Arfi scanners is not in HVM anywhere and likely won't be a for a year.

His statement is entirely accurate from point of view of an investor


The word on the street is that Huahong already have had it for a year and that there was some type of handover recently. Now, whether or not it's actually ready for HVM, we don't know. havok certainly did not say when the delivery happened. And he is also not allowed to do so.
As for ASML de-Americanizing, it will not matter for US sanctions because legislation would be enacted stating that any entity selling semiconductor equipment to China with certain performance parameters will be sanctioned. Iranian oil does not have any US IP or anything else to do with the United States, but yet the US can impose sanctions on any party importing it or involved in paying for it. US sanctions are simply what the US says are sanctioned. It is as simple as that, although the process the US follows to get there will have some variation.
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
As for ASML de-Americanizing, it will not matter for US sanctions because legislation would be enacted stating that any entity selling semiconductor equipment to China with certain performance parameters will be sanctioned. Iranian oil does not have any US IP or anything else to do with the United States, but yet the US can impose sanctions on any party importing it or involved in paying for it. US sanctions are simply what the US says are sanctioned. It is as simple as that, although the process the US follows to get there will have some variation.

Why does US govt still bother with negotiating with Dutch then? Why go through this trouble of lowering us ip to 0% then? Why hasn't US govt sanctioned Japanese or Dutch govt for granting licenses for equipment sales to China market then?

It's one thing to just sanction Iran, but quite a different issue to sanction a firm like ASML, which America also needs access to. Despite what people think here, America is not all powerful in what it can do.

Could they eventually force the Dutch to agree to requiring licenses for 1980i? Sure.

But we are not there yet. And from my conversation with Paul Triolo, Dutch would not agree to that.

But I'm sure people on this forum know more than someone who actually talks to us officials that have been discussing things with Dutch govt
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
Please, Log in or Register to view URLs content!

Hwa Create got 285m RMB order from a major customer, most likely Huawei for the satellite modem chip HTD1010. This works out to be close to 5.7m units. I'm sure this is not the first major order from Huawei to Hwa Create, so a lot of Mate 60 Pro & Pro+ expected
 
Status
Not open for further replies.
Top